中文久久久字幕|亚洲精品成人 在线|视频精品5区|韩国国产一区

歡迎來(lái)到優(yōu)發(fā)表網(wǎng),期刊支持:400-888-9411 訂閱咨詢(xún):400-888-1571股權(quán)代碼(211862)

購(gòu)物車(chē)(0)

期刊大全 雜志訂閱 SCI期刊 期刊投稿 出版社 公文范文 精品范文

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)探索與實(shí)踐

時(shí)間:2022-07-22 09:16:26

序論:在您撰寫(xiě)數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)探索與實(shí)踐時(shí),參考他人的優(yōu)秀作品可以開(kāi)闊視野,小編為您整理的1篇范文,希望這些建議能夠激發(fā)您的創(chuàng)作熱情,引導(dǎo)您走向新的創(chuàng)作高度。

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)探索與實(shí)踐

數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)探索實(shí)踐:基于CPLD/FPGA的數(shù)字電路設(shè)計(jì)方法變革必要性研究

摘 要: 大規(guī)??删幊踢壿嬈骷膽?yīng)用已經(jīng)為數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了極大的靈活性。標(biāo)準(zhǔn)化邏輯設(shè)計(jì)語(yǔ)言的引入,極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法、設(shè)計(jì)過(guò)程和設(shè)計(jì)觀念。作為大學(xué)的技術(shù)基礎(chǔ)教學(xué)環(huán)節(jié),應(yīng)做出相應(yīng)的調(diào)整。分別通過(guò)組合邏輯和時(shí)序邏輯設(shè)計(jì)實(shí)例比較了傳統(tǒng)設(shè)計(jì)方法存在的問(wèn)題和現(xiàn)代邏輯設(shè)計(jì)方法的優(yōu)勢(shì)。通過(guò)對(duì)比可以看到,現(xiàn)代邏輯設(shè)計(jì)技術(shù)取代傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法而成為數(shù)字電路設(shè)計(jì)的主流,是電子技術(shù)發(fā)展的必然趨勢(shì)。

關(guān)鍵詞: 數(shù)字電路設(shè)計(jì); 現(xiàn)代數(shù)字邏輯設(shè)計(jì)方法; 數(shù)字電路教學(xué)改革; 轉(zhuǎn)換真值表

0 引 言

20世紀(jì)90年代,國(guó)際上電子和計(jì)算機(jī)技術(shù)較為先進(jìn)的國(guó)家,一直在積極探索新的電子電路設(shè)計(jì)方法,并在設(shè)計(jì)方法、工具等方面進(jìn)行了徹底的變革,取得了巨大成功。在電子技術(shù)設(shè)計(jì)領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,這些器件為數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了極大的靈活性。這些器件可以通過(guò)類(lèi)似軟件編程的方式對(duì)其硬件結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),從而使硬件設(shè)計(jì)像軟件設(shè)計(jì)那樣方便快捷。這就極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法、設(shè)計(jì)過(guò)程和設(shè)計(jì)觀念,促進(jìn)了數(shù)字邏輯電路設(shè)計(jì)技術(shù)的迅速發(fā)展。本文通過(guò)幾個(gè)設(shè)計(jì)實(shí)例的對(duì)比闡述一個(gè)道理,隨著數(shù)字電路中先進(jìn)設(shè)計(jì)方法的引入,高等學(xué)校中數(shù)字電子技術(shù)的教學(xué)內(nèi)容必須隨之得到改善,使之與技術(shù)進(jìn)步相互適應(yīng)[1?3]。

數(shù)字電路根據(jù)邏輯功能的特點(diǎn),分成兩類(lèi),一類(lèi)叫組合邏輯電路(簡(jiǎn)稱(chēng)組合電路),另一類(lèi)是時(shí)序邏輯電路(簡(jiǎn)稱(chēng)時(shí)序電路)。組合邏輯電路在邏輯功能上的特點(diǎn)是任意時(shí)刻的輸出僅取決于該時(shí)刻的輸入,與電路初態(tài)無(wú)關(guān)。而時(shí)序邏輯電路任意時(shí)刻的輸出不僅取決于當(dāng)時(shí)的輸入信號(hào),還取決于電路原來(lái)的狀態(tài)。本文從這兩方面就傳統(tǒng)手工設(shè)計(jì)存在的問(wèn)題進(jìn)行討論。

1 組合邏輯設(shè)計(jì)中傳統(tǒng)設(shè)計(jì)方法與可編程邏輯

設(shè)計(jì)方法的對(duì)比

列真值表,邏輯關(guān)系式,邏輯化簡(jiǎn)是組合邏輯設(shè)計(jì)的幾個(gè)重要步驟。但這一經(jīng)典的組合邏輯設(shè)計(jì)步驟并不總是必須的。實(shí)現(xiàn)特定邏輯功能的邏輯電路也是多種多樣的。為了使邏輯電路的設(shè)計(jì)更簡(jiǎn)潔,通過(guò)各種方法對(duì)邏輯表達(dá)式進(jìn)行化簡(jiǎn)是必要的。組合電路設(shè)計(jì)就是用最簡(jiǎn)單的邏輯電路實(shí)現(xiàn)給定邏輯表達(dá)式。在滿(mǎn)足邏輯功能和技術(shù)要求基礎(chǔ)上,力求電路簡(jiǎn)單、可靠。實(shí)現(xiàn)組合邏輯函數(shù)可采用基本門(mén)電路,也可采用中、大規(guī)模集成電路。

例1:三個(gè)人表決一件事情,結(jié)果按“少數(shù)服從多數(shù)”的原則決定這一邏輯問(wèn)題[4?5]。在“三人表決”問(wèn)題中,將三個(gè)人的意見(jiàn)分別設(shè)置為邏輯變量A、B、C,只能有同意或不同意兩種意見(jiàn)。將表決結(jié)果設(shè)置為邏輯函數(shù)F,結(jié)果也只有“通過(guò)”與“不通過(guò)”兩種情況。

傳統(tǒng)的邏輯設(shè)計(jì)需要由下面的4個(gè)步驟完成:

(1) 列真值表

對(duì)于邏輯變量A、B、C,設(shè)同意為邏輯1,不同意為邏輯0。對(duì)于邏輯函數(shù)F,設(shè)表決通過(guò)為邏輯1,不通過(guò)為邏輯0。

根據(jù)“少數(shù)服從多數(shù)”的原則,將輸入變量不同取值組合與函數(shù)值間的對(duì)應(yīng)關(guān)系列成表,得到函數(shù)的真值表如表1所示。

(2) 列邏輯函數(shù)表達(dá)式

三人表決器的邏輯表達(dá)式為:

[F=ABC+ABC+ABC+ABC] (1)

設(shè)N為上式中的邏輯項(xiàng)數(shù),這時(shí),共有邏輯項(xiàng)[N=C23+C33=4]項(xiàng)。

(3) 邏輯化簡(jiǎn)

三人表決器的邏輯表達(dá)式可化簡(jiǎn)為:

[F=BC+AC+AB]

(4) 畫(huà)出邏輯電路圖如圖1所示。

盡管上面的分析看上去沒(méi)有錯(cuò)誤,但上例中的“三人表決器”設(shè)計(jì)給學(xué)生一個(gè)誤導(dǎo),好像按照上述的設(shè)計(jì)步驟就可以進(jìn)行組合邏輯設(shè)計(jì)了??梢酝茖?dǎo),若表決人數(shù)用[p]來(lái)表示,邏輯表達(dá)式的項(xiàng)數(shù)為[Np=k=p2+1pCkp,]其中[Ckp]為邏輯項(xiàng)的組合數(shù)。以[p=7]為例,這時(shí)表1中的表項(xiàng)為27=128項(xiàng),式(1)中的邏輯項(xiàng)數(shù)N變?yōu)閇N7=C47+C57+C67+C77=64]。

圖1 例1的邏輯圖

顯然,隨著表決者數(shù)量的增加,邏輯項(xiàng)數(shù)急劇增加,真值表不易繪制,邏輯公式無(wú)法手工書(shū)寫(xiě),邏輯化簡(jiǎn)也非常困難。

多數(shù)表決器的邏輯公式由于過(guò)多的項(xiàng)數(shù)不易采用公式法化簡(jiǎn)。如果采用卡諾圖化簡(jiǎn)法也會(huì)因輸入變量過(guò)多而導(dǎo)致傳統(tǒng)化簡(jiǎn)方法失效。

標(biāo)準(zhǔn)邏輯設(shè)計(jì)語(yǔ)言的出現(xiàn)給大規(guī)模邏輯設(shè)計(jì)帶來(lái)了新的希望。硬件描述語(yǔ)言(HDL)的采用可以使設(shè)計(jì)者的精力集中于所設(shè)計(jì)的邏輯本身,不必過(guò)多的考慮如何實(shí)現(xiàn)這個(gè)邏輯以及需要用哪些定型的邏輯模塊。這在以往中小規(guī)模集成電路邏輯設(shè)計(jì)與大規(guī)??删幊踢壿嬙O(shè)計(jì)方法上產(chǎn)生了本質(zhì)的差別。Verilog是一種以文本形式來(lái)描述數(shù)字系統(tǒng)硬件結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。在此,用Verilog設(shè)計(jì)一個(gè)“七人表決”邏輯,以考察采用現(xiàn)代邏輯設(shè)計(jì)方法較傳統(tǒng)設(shè)計(jì)方法的優(yōu)勢(shì)。

在表決器的設(shè)計(jì)中,關(guān)鍵是對(duì)輸入變量中為1的表決結(jié)果進(jìn)行計(jì)數(shù),如果把全部的邏輯狀態(tài)列表分析,勢(shì)必存在冗余的設(shè)計(jì)資源。根據(jù)多數(shù)表決的性質(zhì),考慮采用加法邏輯來(lái)統(tǒng)計(jì)表決結(jié)果,之后再判決加法器輸出中1的個(gè)數(shù)即可實(shí)現(xiàn)該邏輯。

在“七人表決”邏輯中,不再專(zhuān)注于每個(gè)邏輯變量狀態(tài)的變化,只抓住關(guān)鍵問(wèn)題多數(shù)表決有效,并用條件操作符“?”設(shè)計(jì)出所需的Verilog行為邏輯,剩下的實(shí)現(xiàn)問(wèn)題交由計(jì)算機(jī)綜合(synthesis)??梢钥吹?,采用標(biāo)準(zhǔn)化的硬件描述語(yǔ)言,能有效地避開(kāi)以往組合邏輯設(shè)計(jì)中逐一考察每個(gè)輸入邏輯狀態(tài)所帶來(lái)的邏輯狀態(tài)分析的爆炸,從而可以用較短的設(shè)計(jì)時(shí)間得到正確的邏輯輸出。眾所周知,加法器、比較器都是傳統(tǒng)的組合邏輯教學(xué)內(nèi)容,但以往的教學(xué)中由于采用手工分析方法,很難把這些不同的邏輯設(shè)計(jì)內(nèi)容綜合考慮進(jìn)來(lái)。筆者認(rèn)為,現(xiàn)代邏輯設(shè)計(jì)方法的引入將逐漸轉(zhuǎn)化人們對(duì)傳統(tǒng)邏輯設(shè)計(jì)中的關(guān)注點(diǎn),勢(shì)必引起邏輯設(shè)計(jì)教學(xué)方法的更新。有必要加大邏輯功能綜合設(shè)計(jì)的內(nèi)容,減少元器件級(jí)邏輯單元選型在教學(xué)中的比例。

2 時(shí)序邏輯設(shè)計(jì)中傳統(tǒng)設(shè)計(jì)方法與現(xiàn)代可編程

邏輯設(shè)計(jì)方法的對(duì)比

數(shù)字電路的另一類(lèi)設(shè)計(jì)內(nèi)容是時(shí)序邏輯設(shè)計(jì)。時(shí)序邏輯設(shè)計(jì)分為同步與異步時(shí)序邏輯設(shè)計(jì)。一般地,同步時(shí)序邏輯設(shè)計(jì)的難度要高于異步時(shí)序邏輯。因此,也在時(shí)序邏輯電路設(shè)計(jì)上占有較多的學(xué)時(shí)。如果在教學(xué)改革中僅把可編程邏輯設(shè)計(jì)作為傳統(tǒng)時(shí)序邏輯設(shè)計(jì)內(nèi)容的補(bǔ)充,不但不能使學(xué)生體會(huì)到先進(jìn)的計(jì)算機(jī)輔助邏輯設(shè)計(jì)所帶來(lái)的便捷,還可能使學(xué)生按照傳統(tǒng)的手工時(shí)序邏輯設(shè)計(jì)步驟去理解可編程時(shí)序邏輯,導(dǎo)致時(shí)序邏輯設(shè)計(jì)的復(fù)雜化,增加邏輯驗(yàn)證的成本。因此,有必要探討傳統(tǒng)設(shè)計(jì)方法與現(xiàn)代邏輯設(shè)計(jì)方法之間的差別。下面根據(jù)一個(gè)典型的時(shí)序邏輯設(shè)計(jì)來(lái)說(shuō)明。

例2:試設(shè)計(jì)一個(gè)序列編碼檢測(cè)器[6?7],當(dāng)檢測(cè)到輸入信號(hào)出現(xiàn)110序列時(shí),電路輸出1,否則輸出0。

這個(gè)序列編碼檢測(cè)器如果按照傳統(tǒng)的時(shí)序設(shè)計(jì)步驟,將會(huì)異常繁瑣:

(1) 由給定的邏輯功能建立原始狀態(tài)圖和原始狀態(tài)表

從給定的邏輯功能可知,電路有一個(gè)輸入信號(hào)A和一個(gè)輸出信號(hào)Y,電路功能是對(duì)輸入信號(hào)A的編碼序列進(jìn)行檢測(cè),一旦檢測(cè)到信號(hào)A出現(xiàn)連續(xù)編碼為110的序列時(shí),輸出為1,檢測(cè)到其他編碼序列時(shí),輸出為0。

設(shè)電路的初始狀態(tài)為a,如圖3中箭頭所指。在此狀態(tài)下,電路輸出[Y=0,]這時(shí)可能的輸入有[A=0]和[A=1]兩種情況。當(dāng)CP脈沖相應(yīng)邊沿到來(lái)時(shí),若[A=0,]則是收到0,應(yīng)保持在狀態(tài)a不變;若[A=1,]則轉(zhuǎn)向狀態(tài)[b,]表示電路收到一個(gè)1。當(dāng)在狀態(tài)[b]時(shí),若輸入[A=0,]則表明連續(xù)輸入編碼為10,不是110,則應(yīng)回到初始狀態(tài)[a,]重新開(kāi)始檢測(cè);若[A=1,]則進(jìn)入狀態(tài)[c,]表示已連續(xù)收到兩個(gè)1。在狀態(tài)[c]時(shí),若A=0,表明已收到序列編碼110,則輸出[Y=1,]并進(jìn)入狀態(tài)d;若[A=1,]則收到的編碼為111,應(yīng)保持在狀態(tài)[c]不變,看下一個(gè)編碼輸入是否為[A=0;]由于尚未收到最后的0,故輸出仍為0。在狀態(tài)[d,]若輸入[A=0,]則應(yīng)回到狀態(tài)[a,]重新開(kāi)始檢測(cè);若[A=1,]電路應(yīng)轉(zhuǎn)向狀態(tài)[b,]表示在收到110之后又重新收到一個(gè)1,已進(jìn)入下一輪檢測(cè);在[d]狀態(tài)下,無(wú)論[A]為何值,輸出[Y]均為0。根據(jù)上述分析,可以得出如圖3所示的原始狀態(tài)圖和表2所示的原始狀態(tài)表。

(3) 狀態(tài)分配

化簡(jiǎn)后的狀態(tài)有三個(gè),可以用2位二進(jìn)制代碼組合(00,01,10,11)中的任意三個(gè)代碼表示,用兩個(gè)觸發(fā)器組成電路。觀察表3,當(dāng)輸入信號(hào)A=1時(shí),有abc的變化順序,當(dāng)A=0時(shí),又存在ca的變化。綜合兩方面考慮,這里采取00011100的變化順序,會(huì)使其中的組合電路相對(duì)簡(jiǎn)單。于是,令a=00,b=01,c=11,得到狀態(tài)分配后的狀態(tài)圖。

(4) 選擇觸發(fā)器類(lèi)型

這里選用邏輯功能較強(qiáng)的JK觸發(fā)器可以得到較簡(jiǎn)化的組合電路。

(5) 確定激勵(lì)方程組和輸出方程組

用JK觸發(fā)器設(shè)計(jì)時(shí)序電路時(shí),電路的激勵(lì)方程需要間接導(dǎo)出。表4所示的JK觸發(fā)器特性表提供了在不同現(xiàn)態(tài)和輸入條件下所對(duì)應(yīng)的次態(tài)。而在時(shí)序電路設(shè)計(jì)時(shí),狀態(tài)表已列出現(xiàn)態(tài)到次態(tài)的轉(zhuǎn)換關(guān)系,希望推導(dǎo)出觸發(fā)器的激勵(lì)條件。所以需將特性表做適當(dāng)變換,以給定的狀態(tài)轉(zhuǎn)換為條件,列出所需求的輸入信號(hào),稱(chēng)為激勵(lì)表。根據(jù)表4建立的JK觸發(fā)器激勵(lì)表如表5所示。表中的[x]表示其邏輯值與該行的狀態(tài)轉(zhuǎn)換無(wú)關(guān)。

從上例可以看到,傳統(tǒng)的時(shí)序邏輯設(shè)計(jì)方法盡管可以用來(lái)實(shí)現(xiàn)時(shí)序邏輯的設(shè)計(jì),但設(shè)計(jì)步驟不僅復(fù)雜且需要設(shè)計(jì)者大費(fèi)周折??梢灶A(yù)見(jiàn),使用傳統(tǒng)的時(shí)序邏輯設(shè)計(jì)方法設(shè)計(jì)復(fù)雜時(shí)序電路的難度很大。那么,采用什么方法才能使教學(xué)與現(xiàn)代邏輯設(shè)計(jì)技術(shù)接軌呢?

時(shí)序電路也被稱(chēng)為有限狀態(tài)機(jī)(FSM)[6,8],因?yàn)樗鼈兊墓δ苄袨榭梢杂糜邢薜臓顟B(tài)個(gè)數(shù)來(lái)表示。在與可編程邏輯設(shè)計(jì)的對(duì)比分析中,這里采用FSM設(shè)計(jì)這個(gè)序列檢測(cè)器。

根據(jù)圖3的狀態(tài)轉(zhuǎn)換圖(采用圖4中化簡(jiǎn)的狀態(tài)轉(zhuǎn)換圖亦可),給邏輯狀態(tài)[a,b,c,d]分別分配以Gray編碼(00,01,11,10)。之所以采用Gray編碼方法,是可以省掉序列檢測(cè)中的計(jì)數(shù)檢測(cè)。序列檢測(cè)器的FSM邏輯如圖7所示。經(jīng)仿真驗(yàn)證,符合設(shè)計(jì)要求。

圖7 例2的FSM實(shí)現(xiàn)

從上面的對(duì)比可以看出,傳統(tǒng)時(shí)序邏輯設(shè)計(jì)以人工邏輯分析為基礎(chǔ),現(xiàn)有邏輯器件為基礎(chǔ)構(gòu)件,歷經(jīng)基本邏輯方程轉(zhuǎn)換及最后的狀態(tài)驗(yàn)證等多個(gè)環(huán)節(jié),設(shè)計(jì)周期長(zhǎng),僅適合設(shè)計(jì)小規(guī)模、時(shí)序簡(jiǎn)單的邏輯單元[9];現(xiàn)代標(biāo)準(zhǔn)邏輯設(shè)計(jì)語(yǔ)言的設(shè)計(jì)方法以邏輯狀態(tài)轉(zhuǎn)換本身為要點(diǎn),從邏輯門(mén)與觸發(fā)器級(jí)邏輯設(shè)計(jì)上升的行為邏輯設(shè)計(jì),更易于用來(lái)設(shè)計(jì)復(fù)雜的現(xiàn)代大規(guī)模時(shí)序邏輯。

3 結(jié) 論

現(xiàn)代邏輯設(shè)計(jì)方法的引入將逐漸轉(zhuǎn)化人們對(duì)傳統(tǒng)邏輯設(shè)計(jì)的關(guān)注點(diǎn),大學(xué)基礎(chǔ)教學(xué)中邏輯電路的設(shè)計(jì)方法也應(yīng)隨著這一技術(shù)的引入更新它的內(nèi)容,改變傳統(tǒng)邏輯設(shè)計(jì)占主導(dǎo)地位的現(xiàn)狀。可以預(yù)見(jiàn),大規(guī)模可編程邏輯器件的引入將會(huì)從根本上改變數(shù)字電子技術(shù)的教學(xué)模式?,F(xiàn)代邏輯設(shè)計(jì)概念的引入,減少手工邏輯設(shè)計(jì)方法的比重、增加現(xiàn)代數(shù)字電路設(shè)計(jì)方法,注重基本概念的靈活運(yùn)用都是數(shù)字電路教學(xué)改革的選題。廣泛開(kāi)展現(xiàn)代邏輯設(shè)計(jì)方法的研究,勢(shì)必帶來(lái)邏輯設(shè)計(jì)方法教學(xué)的變革。對(duì)于高等學(xué)校的教師來(lái)說(shuō),做好改革的思想準(zhǔn)備已經(jīng)是刻不容緩的了。

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)探索與實(shí)踐:高速數(shù)字電路設(shè)計(jì)中信號(hào)完整性分析與研究

摘 要:在高速數(shù)字電路的設(shè)計(jì)過(guò)程中,必須確保信號(hào)的完整性,因此對(duì)信號(hào)完整性進(jìn)行分析和驗(yàn)證十分必要。當(dāng)下電子產(chǎn)品的更新?lián)Q代速度極快,完整性設(shè)計(jì)的重要性也愈加突出,本文將對(duì)高速數(shù)字電路設(shè)計(jì)中的信號(hào)完整性影響因素進(jìn)行分析,并在此基礎(chǔ)上,針對(duì)其主要影響因素,提出幾種信號(hào)完整性的仿真分析方法。

關(guān)鍵詞:高速數(shù)字電路;設(shè)計(jì);信號(hào)完整性;分析

前言:高速數(shù)字電路有一個(gè)重要的衡量指標(biāo),即時(shí)鐘頻率,由于時(shí)鐘頻率不斷提升,信號(hào)完整性也在不斷發(fā)生變化,在電路設(shè)計(jì)過(guò)程中,應(yīng)以信號(hào)完整性為導(dǎo)向,在提升時(shí)鐘頻率的同時(shí),做到對(duì)信號(hào)完整性的實(shí)時(shí)監(jiān)測(cè),確保電路運(yùn)行安全。從影響信號(hào)完整性的主要因素著手,探討信號(hào)完整性的分析和驗(yàn)證方法。

一、信號(hào)完整性的主要影響因素

(一)反射影響作用

PCB板是高速數(shù)字電路設(shè)計(jì)的關(guān)鍵部分,對(duì)電路穩(wěn)定性和可靠性有重要影響,在PCB板設(shè)計(jì)過(guò)程中,必須處理好信號(hào)完整性問(wèn)題。但是信號(hào)完整性有多種影響因素,而且對(duì)供電和時(shí)序的穩(wěn)定有直接影響,因此,需要對(duì)信號(hào)完整性的主要影響因素進(jìn)行深入分析。傳輸影響作用是信號(hào)完整性的主要影響因素之一,作為高速數(shù)字電路的基本組成部分,傳輸線(xiàn)組是電流的媒介, 信號(hào)以電流的形式在傳輸線(xiàn)組中通過(guò),線(xiàn)組的阻力直接決定著電流的流暢性。因此,傳輸線(xiàn)組的阻力上升,會(huì)直接導(dǎo)致信號(hào)完整性下降。當(dāng)傳輸線(xiàn)組上的阻力非常大時(shí),會(huì)阻礙部分電流通過(guò),導(dǎo)致另一端接受到信號(hào)時(shí)出現(xiàn)信號(hào)失真現(xiàn)象,使信號(hào)完整性遭到嚴(yán)重破壞[1]。

(二)串?dāng)_影響作用

串?dāng)_是信號(hào)在網(wǎng)絡(luò)回路中傳輸?shù)囊环N普遍效應(yīng),信號(hào)經(jīng)過(guò)一個(gè)網(wǎng)絡(luò)到達(dá)另一個(gè)網(wǎng)絡(luò)時(shí),有害信號(hào)總是具有較快的傳輸速度,再加上相鄰網(wǎng)絡(luò)傳輸速度的影響,信號(hào)在傳輸過(guò)程中,會(huì)產(chǎn)生一個(gè)電磁場(chǎng),其作用是引導(dǎo)信號(hào),在引導(dǎo)過(guò)程中,磁場(chǎng)線(xiàn)圈繞磁場(chǎng)旋轉(zhuǎn)。因此,串?dāng)_是由靜態(tài)線(xiàn)和動(dòng)態(tài)線(xiàn)兩部分組成的,其各自產(chǎn)生的傳輸阻力不同,這種差異的存在使網(wǎng)絡(luò)中傳輸信號(hào)的電流強(qiáng)度不同。在串?dāng)_模型中,其中性點(diǎn)位置是繞組電壓能夠保持正常的主要影響因素,如果中性點(diǎn)位置處于模型中部,則繞組電壓速率較高,信號(hào)通行能力較強(qiáng)。而中性點(diǎn)位置如果位于模型首部,則會(huì)導(dǎo)致電壓電流無(wú)法通過(guò),出現(xiàn)定子接地異常[2]。

二、信號(hào)完整性的仿真分析技術(shù)

(一)EDA技術(shù)

EDA技術(shù)即電路仿真技術(shù),目前在數(shù)字電路設(shè)計(jì)中得到了較為廣泛的應(yīng)用。EDA技術(shù)以計(jì)算機(jī)為基礎(chǔ),通過(guò)軟件設(shè)計(jì)方式和仿真測(cè)試驗(yàn)證,將硬件設(shè)計(jì)的操作過(guò)程和測(cè)試過(guò)程轉(zhuǎn)化為軟件處理過(guò)程,極大的提高了數(shù)字電路設(shè)計(jì)的自動(dòng)化程度和設(shè)計(jì)效率。相比于傳統(tǒng)設(shè)計(jì)方式,EDA技術(shù)具有許多優(yōu)點(diǎn),目前在高速數(shù)字電路的信號(hào)完整性驗(yàn)證方面也得到了廣泛應(yīng)用。采用EDA技術(shù)對(duì)高速數(shù)字電路完整性進(jìn)行驗(yàn)證,可以在電路實(shí)現(xiàn)以前完成,避免重復(fù)設(shè)計(jì),保證設(shè)計(jì)的合理性,提高一次性設(shè)計(jì)的成功率。

(二)反射仿真分析技術(shù)

高速數(shù)字電路是數(shù)字電子產(chǎn)品設(shè)計(jì)與開(kāi)發(fā)的重要組成部分,對(duì)電路系統(tǒng)的穩(wěn)定運(yùn)行有至關(guān)重要的影響,而數(shù)據(jù)完整性分析則是保證高速數(shù)字電路合理設(shè)計(jì)的基礎(chǔ),因此在數(shù)字電子產(chǎn)品的設(shè)計(jì)與開(kāi)發(fā)中占有重要地位。在EDA技術(shù)的支持下,可以通過(guò)模擬電路實(shí)際運(yùn)行過(guò)程中的信號(hào)高低問(wèn)題,為電路設(shè)計(jì)提供參考,對(duì)信號(hào)完整性加以測(cè)定。反射仿真分析技術(shù)的應(yīng)用關(guān)鍵是建立信號(hào)完整性的分析模型,并使驗(yàn)證過(guò)程在PCB生產(chǎn)前進(jìn)行,提前確定信號(hào)完整性是否符合要求,對(duì)PCB電流進(jìn)行模擬,建立反射仿真模型,并利用端接技術(shù),改變信號(hào)的完整性。這是目前反射仿真分析的主要發(fā)展方向,在該模型建立過(guò)程中,引入了IBIS模型,驅(qū)動(dòng)端和接受端采用IBIS模型對(duì)電路傳輸信號(hào)的完整新進(jìn)行驗(yàn)證。其中,主要運(yùn)用的元件是電流阻力線(xiàn)。

(三)串?dāng)_仿真分析技術(shù)

串?dāng)_仿真分析技術(shù)在EDA技術(shù)的支持下,利用相鄰網(wǎng)絡(luò)的信號(hào)串?dāng)_作用,建立串?dāng)_仿真分析模型,通過(guò)模型對(duì)信號(hào)完整性進(jìn)行分析和驗(yàn)證。在該類(lèi)線(xiàn)路仿真設(shè)備維護(hù)中,經(jīng)常會(huì)出現(xiàn)一個(gè)保護(hù)屏柜內(nèi)存在多條傳輸線(xiàn)路的情況,而且有一部分線(xiàn)路不在系統(tǒng)運(yùn)行范圍內(nèi),多以要對(duì)工作線(xiàn)路和非工作線(xiàn)路加以區(qū)分,并對(duì)臨近傳輸線(xiàn)進(jìn)行隔離。避免傳輸線(xiàn)路在復(fù)雜的工作環(huán)境下出現(xiàn)誤接線(xiàn)等狀況,從而避免設(shè)備跳閘和設(shè)備誤動(dòng)。串?dāng)_仿真分析技術(shù)遵循PCB走線(xiàn)規(guī)律,對(duì)其實(shí)際運(yùn)行線(xiàn)路的走線(xiàn)和與臨近傳輸線(xiàn)路的作用進(jìn)行信號(hào)完整性模擬驗(yàn)證,判斷是否存在上述問(wèn)題。應(yīng)創(chuàng)新防誤閉保護(hù)方式,提高設(shè)備敏感度,利用電子系統(tǒng)和感應(yīng)系統(tǒng)提高設(shè)備自身的防誤閉能力。針對(duì)目前使用廣泛的接線(xiàn)端子,采用串?dāng)_仿真分析技術(shù)對(duì)其進(jìn)行模擬測(cè)試,并采用防誤閉隔離工具在接線(xiàn)端子出進(jìn)行警示和保護(hù),提高電路運(yùn)行的安全性。

結(jié)束語(yǔ):總而言之,信號(hào)完整性的分析驗(yàn)證是高速數(shù)字電路設(shè)計(jì)中的重要環(huán)節(jié),對(duì)電路的運(yùn)行效率和信號(hào)傳輸效果有直接影響。必須采用有效的分析驗(yàn)證手段,針對(duì)高速數(shù)字電路信號(hào)完整性的主要影響因素,對(duì)其進(jìn)行準(zhǔn)確驗(yàn)證。本文主要分析了高速數(shù)字電路信號(hào)完整性的影響因素,包括反射影響作用和串?dāng)_影響作用,并針對(duì)這些主要影響因素,提出采用EDA技術(shù)進(jìn)行信號(hào)完整性分析,通過(guò)建立相關(guān)模型,在PCB板實(shí)現(xiàn)前對(duì)信號(hào)完整性進(jìn)行準(zhǔn)確驗(yàn)證,保證設(shè)計(jì)和合理性。

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)探索與實(shí)踐:高職院校數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)的探索與實(shí)踐

論文關(guān)鍵詞:高等職業(yè)教育 設(shè)計(jì)性實(shí)驗(yàn) 實(shí)驗(yàn)教學(xué) 創(chuàng)新能力

論文摘要:結(jié)合高職院校數(shù)字電路實(shí)驗(yàn)教學(xué)現(xiàn)狀,以培養(yǎng)學(xué)生的電子設(shè)計(jì)能力、實(shí)踐能力與創(chuàng)新能力為目標(biāo),對(duì)數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)進(jìn)行了研究,提出了構(gòu)建實(shí)驗(yàn)課程體系、加強(qiáng)實(shí)驗(yàn)教師隊(duì)伍建設(shè)、完善實(shí)驗(yàn)考核機(jī)制等措施,取得了良好的教學(xué)效果。

隨著高職院校實(shí)驗(yàn)教學(xué)改革的深人,實(shí)驗(yàn)教學(xué)已成為高職院校教學(xué)工作的重要組成部分。實(shí)驗(yàn)教學(xué)已從過(guò)去單純的驗(yàn)證性實(shí)驗(yàn)逐步深人到綜合性、設(shè)計(jì)性實(shí)驗(yàn),從利用實(shí)驗(yàn)來(lái)加深對(duì)已學(xué)理論知識(shí)的理解,深人到將實(shí)驗(yàn)作為學(xué)生學(xué)習(xí)新知識(shí)、新技術(shù)、新器件,培養(yǎng)學(xué)生實(shí)踐能力、創(chuàng)新能力的重要目的。

1高職院校實(shí)驗(yàn)教學(xué)存在的問(wèn)題

數(shù)字電路實(shí)驗(yàn)是高職院校電子信息類(lèi)、機(jī)電類(lèi)專(zhuān)業(yè)必修的實(shí)踐性技術(shù)基礎(chǔ)課程,對(duì)培養(yǎng)學(xué)生的綜合素質(zhì)、創(chuàng)新能力具有重要的地位。在傳統(tǒng)的實(shí)驗(yàn)教學(xué)中,數(shù)字電路實(shí)驗(yàn)教學(xué)多以驗(yàn)證性實(shí)驗(yàn)為主,并按實(shí)驗(yàn)指導(dǎo)書(shū)的實(shí)驗(yàn)步驟去完成實(shí)驗(yàn),這種實(shí)驗(yàn)教學(xué)模式禁錮了學(xué)生的創(chuàng)新思維,失去了“實(shí)驗(yàn)”真正的含義,培養(yǎng)出來(lái)的學(xué)生實(shí)踐技能差,無(wú)法達(dá)到高職教育人才培養(yǎng)的要求.

2開(kāi)設(shè)數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)采取的措施

通過(guò)多年來(lái)的實(shí)驗(yàn)教學(xué)改革實(shí)踐,證明了開(kāi)設(shè)設(shè)計(jì)性實(shí)驗(yàn)有利于鞏固課堂所學(xué)的理論知識(shí);有利于提高學(xué)生電子系統(tǒng)設(shè)計(jì)能力、綜合素質(zhì)、創(chuàng)新能力[’]。2005年我校電子技術(shù)實(shí)驗(yàn)教學(xué)中心(以下簡(jiǎn)稱(chēng)中心)以“加強(qiáng)基礎(chǔ)訓(xùn)練,培養(yǎng)能力,注重創(chuàng)新”為指導(dǎo)思想,在面向各類(lèi)專(zhuān)業(yè)的數(shù)字電路實(shí)驗(yàn)教學(xué)中,開(kāi)設(shè)了以學(xué)生為主、教師為輔的數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)教學(xué),取得了良好的教學(xué)效果。

2. 1構(gòu)建實(shí)驗(yàn)教學(xué)課程體系

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)是一種較高層次的實(shí)驗(yàn)教學(xué),是結(jié)合數(shù)字電路課程和其它學(xué)科知識(shí)進(jìn)行電路設(shè)計(jì),培養(yǎng)學(xué)生電子系統(tǒng)設(shè)計(jì)能力、創(chuàng)新能力的有效途徑,具有綜合性、創(chuàng)新性及探索性[[4]。數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)是學(xué)生根據(jù)教師給定的實(shí)驗(yàn)任務(wù)和實(shí)驗(yàn)條件,自行查閱文獻(xiàn)、設(shè)計(jì)方案、電路安裝等,激發(fā)學(xué)生的創(chuàng)新思維。設(shè)計(jì)性實(shí)驗(yàn)的實(shí)施過(guò)程,如圖1所示。

為了提高學(xué)生的電子設(shè)計(jì)能力和創(chuàng)新能力,中心根據(jù)高職教育教學(xué)特點(diǎn)與規(guī)律,構(gòu)建了基礎(chǔ)型、提高型、創(chuàng)新型三個(gè)遞進(jìn)層次的數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)課程體系。三個(gè)實(shí)訓(xùn)模塊的內(nèi)容堅(jiān)持以“加強(qiáng)基礎(chǔ)型設(shè)計(jì)性實(shí)驗(yàn),培養(yǎng)學(xué)生的電子設(shè)計(jì)能力、創(chuàng)新意識(shí)”為主線(xiàn),由單元電路設(shè)計(jì)到系統(tǒng)電路設(shè)計(jì),循序漸進(jìn),三年不斷線(xiàn),為不同基礎(chǔ)、不同層次的學(xué)生逐步提高電子設(shè)計(jì)能力、創(chuàng)新能力的空間,如圖2所示。

基礎(chǔ)型設(shè)計(jì)性實(shí)驗(yàn)是課程中所安排的教學(xué)實(shí)驗(yàn),學(xué)生在完成了驗(yàn)證性、綜合性實(shí)驗(yàn)以后,具有了一定的實(shí)驗(yàn)技能,結(jié)合數(shù)字電路的基本原理設(shè)計(jì)一些比較簡(jiǎn)單的單元電路,學(xué)生按照教師給出的實(shí)驗(yàn)要求根據(jù)實(shí)驗(yàn)室所擁有的儀器設(shè)備、元器件,從實(shí)驗(yàn)原理來(lái)確定實(shí)驗(yàn)方法、設(shè)計(jì)實(shí)驗(yàn)電路等,且在規(guī)定的實(shí)驗(yàn)學(xué)時(shí)內(nèi)完成實(shí)驗(yàn)。如表1所示。這一階段主要是讓學(xué)生熟悉門(mén)電路邏輯功能及應(yīng)用,掌握組合邏輯電路、時(shí)序電路的設(shè)計(jì)方法,培養(yǎng)學(xué)生的設(shè)計(jì)意識(shí)、查閱文獻(xiàn)等能力。

提高型設(shè)計(jì)性實(shí)驗(yàn)對(duì)高職院校來(lái)說(shuō),可認(rèn)為是數(shù)字電路課程設(shè)計(jì)。它體現(xiàn)了學(xué)生對(duì)綜合知識(shí)的掌握和運(yùn)用,課題內(nèi)容是運(yùn)用多門(mén)課程的知識(shí)及實(shí)驗(yàn)技能來(lái)設(shè)計(jì)比較復(fù)雜的系統(tǒng)電路,如表2所示。整個(gè)教學(xué)過(guò)程可分10單元,每個(gè)單元為4學(xué)時(shí),每小組為一個(gè)課題。學(xué)生根據(jù)教師提供的設(shè)計(jì)題目確定課題,查閱文獻(xiàn)、設(shè)計(jì)電路、電路仿真、電路安裝調(diào)試、撰寫(xiě)課程設(shè)計(jì)報(bào)告等,完成從電路設(shè)計(jì)到制作、成品的全部實(shí)踐過(guò)程。通過(guò)這一階段的訓(xùn)練,學(xué)生的軟硬件設(shè)計(jì)能力進(jìn)一步提高,報(bào)告撰寫(xiě)趨于成熟,善于接受新器件,團(tuán)隊(duì)協(xié)作趨于成熟。

創(chuàng)新型設(shè)計(jì)性實(shí)驗(yàn)主要為理論基礎(chǔ)知識(shí)扎實(shí)、實(shí)驗(yàn)技能熟練的優(yōu)秀學(xué)生選做,為“開(kāi)放式”教學(xué),實(shí)驗(yàn)內(nèi)容主要是結(jié)合專(zhuān)業(yè)的科研項(xiàng)目、工程實(shí)際及全國(guó)或省級(jí)電子設(shè)計(jì)競(jìng)賽的課題。通過(guò)創(chuàng)新型設(shè)計(jì)性實(shí)驗(yàn),強(qiáng)化學(xué)生電子系統(tǒng)設(shè)計(jì)能力,充分發(fā)揮學(xué)生的潛能,全面提高學(xué)生的電子系統(tǒng)設(shè)計(jì)能力、創(chuàng)新能力,為參加大學(xué)生電子設(shè)計(jì)競(jìng)賽奠定堅(jiān)實(shí)的基礎(chǔ)。

數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)課程體系將數(shù)字電路基本原理、模擬電路、eda技術(shù)等多門(mén)課程知識(shí)點(diǎn)融合在一起,從單元電路設(shè)計(jì)到系統(tǒng)電路設(shè)計(jì),深化了“系統(tǒng)”概念的意識(shí)。在每一輪設(shè)計(jì)性實(shí)驗(yàn)結(jié)束后進(jìn)行總結(jié),開(kāi)展學(xué)生問(wèn)卷調(diào)查,對(duì)設(shè)計(jì)性實(shí)驗(yàn)的教學(xué)方法、手段等進(jìn)行全面評(píng)估,從而了解設(shè)計(jì)性實(shí)驗(yàn)教學(xué)的效果。在實(shí)驗(yàn)過(guò)程中,實(shí)驗(yàn)教師鼓勵(lì)學(xué)生從不同角度去分析,大膽創(chuàng)新,設(shè)計(jì)不同的方案。

2. 2加強(qiáng)實(shí)驗(yàn)教師隊(duì)伍的建設(shè)

近年來(lái),中心依托省級(jí)精品課程“數(shù)字電路與邏輯設(shè)計(jì)基礎(chǔ)”、省級(jí)應(yīng)用電子技術(shù)精品專(zhuān)業(yè)建設(shè),合理規(guī)劃,制定了實(shí)驗(yàn)教師隊(duì)伍培養(yǎng)計(jì)劃;專(zhuān)業(yè)教師定期到企業(yè)培訓(xùn);專(zhuān)職實(shí)驗(yàn)教師參加實(shí)驗(yàn)教學(xué)改革研討和對(duì)新知識(shí)、新技術(shù)的培訓(xùn);同時(shí)制定優(yōu)惠政策,吸引企業(yè)中具有豐富實(shí)踐經(jīng)驗(yàn)的工程師、技師到實(shí)訓(xùn)基地?fù)?dān)任實(shí)驗(yàn)教師tb},形成一支能培養(yǎng)高素質(zhì)技能型人才、能跟蹤電子信息技術(shù)發(fā)展、勇于創(chuàng)新并積極承擔(dān)教學(xué)改革項(xiàng)目的專(zhuān)兼職結(jié)合的實(shí)驗(yàn)教師隊(duì)伍,實(shí)現(xiàn)了實(shí)驗(yàn)教師隊(duì)伍的整體優(yōu)化。

2. 3開(kāi)放實(shí)驗(yàn)室

為了保證設(shè)計(jì)性實(shí)驗(yàn)教學(xué)的有效實(shí)施,中心實(shí)行時(shí)間和內(nèi)容兩方面開(kāi)放的教學(xué)方法。學(xué)生除了要完成教學(xué)計(jì)劃內(nèi)指定實(shí)驗(yàn)外,還可以根據(jù)自己的專(zhuān)業(yè)和興趣,選擇規(guī)定以外的實(shí)驗(yàn)項(xiàng)目。為了提高設(shè)計(jì)性實(shí)驗(yàn)的教學(xué)效果,學(xué)校制定了系列激勵(lì)政策,調(diào)動(dòng)了實(shí)驗(yàn)教師及學(xué)生的積極性。

2. 4建設(shè)創(chuàng)新實(shí)訓(xùn)室

為了培養(yǎng)學(xué)生的電子設(shè)計(jì)能力、創(chuàng)新能力,給優(yōu)秀學(xué)生營(yíng)造良好的自主學(xué)習(xí)環(huán)境,提供展現(xiàn)創(chuàng)新設(shè)計(jì)的舞臺(tái),中心先后投人了30多萬(wàn)元,更新了實(shí)驗(yàn)儀器設(shè)備,建設(shè)了一個(gè)軟件環(huán)境優(yōu)良、硬件條件先進(jìn)的創(chuàng)新實(shí)訓(xùn)室。該實(shí)訓(xùn)室配置了計(jì)算機(jī)、函數(shù)信號(hào)發(fā)生器、頻率計(jì)、掃頻儀、數(shù)字存儲(chǔ)示波器、單片機(jī)系統(tǒng)設(shè)計(jì)實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)、打孔機(jī)、制版機(jī)等儀器設(shè)備〔7〕。

2. 5完善實(shí)驗(yàn)考核機(jī)制

對(duì)于數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)的考核,不能僅靠一份實(shí)驗(yàn)報(bào)告或作品來(lái)評(píng)定成績(jī),要關(guān)注設(shè)計(jì)方案的可行性、實(shí)驗(yàn)過(guò)程中學(xué)生的操作能力、創(chuàng)新能力等方面。如以100分計(jì),分別從實(shí)驗(yàn)設(shè)計(jì)方案(20分)、實(shí)驗(yàn)方案的實(shí)施和完善(40分)、設(shè)計(jì)的創(chuàng)新性(20分)、實(shí)驗(yàn)報(bào)告或論文、成品(20分)幾個(gè)環(huán)節(jié)來(lái)評(píng)定學(xué)生的實(shí)驗(yàn)成績(jī)。為了激勵(lì)優(yōu)秀學(xué)生,激發(fā)創(chuàng)新欲望,中心建立了“創(chuàng)新設(shè)計(jì)性實(shí)驗(yàn)優(yōu)秀論文、作品評(píng)獎(jiǎng)制度”,對(duì)經(jīng)專(zhuān)業(yè)教師評(píng)審選出的優(yōu)秀論文、創(chuàng)新作品的學(xué)生給予表彰、獎(jiǎng)勵(lì)。

3結(jié)束語(yǔ)

通過(guò)開(kāi)設(shè)數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)教學(xué),不僅加強(qiáng)了學(xué)生的電子系統(tǒng)設(shè)計(jì)能力、制作能力和工程實(shí)踐的訓(xùn)練,還促進(jìn)了不同層次學(xué)生的實(shí)踐能力、創(chuàng)新能力、寫(xiě)作能力。教師的專(zhuān)業(yè)知識(shí)水平、科技創(chuàng)新能力、學(xué)術(shù)水平也得到了提高。