中文久久久字幕|亚洲精品成人 在线|视频精品5区|韩国国产一区

歡迎來到優(yōu)發(fā)表網(wǎng),期刊支持:400-888-9411 訂閱咨詢:400-888-1571股權(quán)代碼(211862)

購物車(0)

期刊大全 雜志訂閱 SCI期刊 期刊投稿 出版社 公文范文 精品范文

版圖設(shè)計(jì)畢業(yè)論文

時(shí)間:2022-04-26 03:44:43

序論:在您撰寫版圖設(shè)計(jì)畢業(yè)論文時(shí),參考他人的優(yōu)秀作品可以開闊視野,小編為您整理的1篇范文,希望這些建議能夠激發(fā)您的創(chuàng)作熱情,引導(dǎo)您走向新的創(chuàng)作高度。

版圖設(shè)計(jì)畢業(yè)論文

版圖設(shè)計(jì)畢業(yè)論文:《集成電路版圖設(shè)計(jì)》課程教學(xué)改革與探索

【摘要】《集成電路版圖設(shè)計(jì)》課程對微電子專業(yè)學(xué)生理解電路設(shè)計(jì)的概念和工藝技術(shù)的認(rèn)識(shí),起到承前啟后的作用,對此課程教學(xué)方法的研究有著重要的理論和現(xiàn)實(shí)意義。

【關(guān)鍵詞】集成電路版圖;教學(xué)方法;改革

集成電路版圖設(shè)計(jì)是集成電路設(shè)計(jì)的最終結(jié)果,版圖質(zhì)量的優(yōu)劣直接關(guān)系到整個(gè)芯片的性能和經(jīng)濟(jì)性,因此,如何培養(yǎng)學(xué)生學(xué)好集成電路版圖設(shè)計(jì)技術(shù),具備成為合格的版圖設(shè)計(jì)工程師的基本潛質(zhì),是擺在微電子專業(yè)老師面前的一個(gè)普遍難題。如何破解這個(gè)難題,我們做了以下探索。

一、突出實(shí)踐,理論配合

傳統(tǒng)的《集成電路版圖設(shè)計(jì)》課程采取理論教育優(yōu)先,學(xué)生對于版圖的基本理論和設(shè)計(jì)規(guī)則非常熟悉,但動(dòng)手實(shí)踐能力缺乏培養(yǎng),往往在學(xué)生畢業(yè)后進(jìn)入集成電路設(shè)計(jì)企業(yè)還需二次培訓(xùn)版圖設(shè)計(jì)能力,造成了嚴(yán)重的人力資源浪費(fèi)。這是由于沒有清晰的認(rèn)識(shí)《集成電路版圖設(shè)計(jì)》課程的性質(zhì),造成對它的講授還是采取傳統(tǒng)教學(xué)方式:老師講,學(xué)生聽,偏重理論,缺乏實(shí)踐,影響到學(xué)生在工作中面臨實(shí)際設(shè)計(jì)電路能力的發(fā)揮?!都呻娐钒鎴D設(shè)計(jì)》是一門承接系統(tǒng)、電路、工藝、EDA技術(shù)的綜合性課程,如果按照傳統(tǒng)方式授課,課程的綜合性和實(shí)踐性無法得到體現(xiàn),違背了課程應(yīng)有的自身規(guī)律,教學(xué)效果和實(shí)用意義不能滿足工業(yè)界的要求。我們在重新思考課程的本質(zhì)特點(diǎn)后,采取了實(shí)踐先行,理論配合的教學(xué)方法,具體如下:集成電路版圖是根據(jù)邏輯與電路功能和性能要求,以及工藝水平要求來設(shè)計(jì)光刻用的掩膜圖形,實(shí)現(xiàn)芯片設(shè)計(jì)的最終輸出。版圖是一組相互套合的圖形,各層版圖相應(yīng)于不同的工藝步驟,每一層版圖使用不同的圖案來表示。我們首先講授版圖設(shè)計(jì)工具EDA軟件的使用,讓學(xué)生掌握EDA軟件的每一個(gè)主要功能,從圖形的選擇、材料的配置,讓學(xué)生從感性角度認(rèn)識(shí)實(shí)際的版圖設(shè)計(jì)是如何開展的,每一個(gè)步驟是如何使用軟件完成的,整體芯片版圖設(shè)計(jì)的流程有哪些規(guī)定,學(xué)生此時(shí)設(shè)計(jì)的版圖可能不是很精確和完美,但學(xué)生對于什么是版圖和如何設(shè)計(jì)版圖有了初步的感性認(rèn)識(shí),建立起版圖設(shè)計(jì)的基本概念,對于后續(xù)的學(xué)習(xí)奠定了牢實(shí)的實(shí)踐基礎(chǔ),此時(shí)再去講授版圖設(shè)計(jì)理論知識(shí),學(xué)生更能理解深層的工藝知識(shí)和半導(dǎo)體理論,真正做到了知行合一,實(shí)踐先行的教育理念,對學(xué)生能力的培養(yǎng)大有裨益。

二、注重細(xì)節(jié),加強(qiáng)引導(dǎo)

傳統(tǒng)方式講授《集成電路版圖設(shè)計(jì)》理論占大部分時(shí)間,學(xué)生知道二極管、晶體管、場效應(yīng)管、電阻、電容等基本元器件的工作原理和構(gòu)成要素,但是在版圖設(shè)計(jì)中,這些元器件為什么要這樣設(shè)計(jì),其實(shí)內(nèi)心中充滿著疑惑和不解。針對學(xué)生的疑惑,我們從工藝細(xì)節(jié)入手來解決這個(gè)問題。作為集成電路版圖設(shè)計(jì)者,首先要熟悉工藝條件和期間物理,才能確定晶體管的具體尺寸、連線的寬度、間距、各次掩膜套刻精度等。版圖設(shè)計(jì)的規(guī)則也是由工藝來確定的,掌握了工藝也就掌握了版圖設(shè)計(jì)的鑰匙。我們將通用工藝文件的每一條規(guī)則向?qū)W生講解,通用元器件的規(guī)則整理出它們的共性,最小寬度、長度、間距的尺寸提醒學(xué)生要記憶,不同芯片生產(chǎn)廠的工藝對比學(xué)習(xí)和研究,學(xué)生在這一系列規(guī)則的學(xué)習(xí)過程中,慢慢理解熟悉了工藝規(guī)則文件的組織構(gòu)成及學(xué)習(xí)要點(diǎn),能夠舉一反三的在不同工藝規(guī)則下,設(shè)計(jì)同一種元器件的版圖,即使電路元器件的數(shù)量巨大,電路拓?fù)潢P(guān)系復(fù)雜,在老師耐心的講解下,學(xué)生也能夠依據(jù)工藝規(guī)則設(shè)計(jì)出符合要求的版圖,這都是在理解了工藝規(guī)則細(xì)節(jié)的基礎(chǔ)上完成的。所以,關(guān)注細(xì)節(jié),加強(qiáng)引導(dǎo),是提高學(xué)生學(xué)習(xí)效果的一個(gè)重要方法。

三、完善考核機(jī)制,爭取比賽練兵

學(xué)生成績的提高,合理完善的考核機(jī)制不可或缺。以往《集成電路版圖設(shè)計(jì)》課程的考核主要是理論知識(shí)作業(yè)和課程報(bào)告,學(xué)生的學(xué)習(xí)效果和實(shí)際動(dòng)手能力沒有得到考核,造成不能全面評(píng)價(jià)學(xué)生的學(xué)習(xí)成績。我們采取項(xiàng)目形式,全方位考核學(xué)生的學(xué)習(xí)效果。根據(jù)知識(shí)點(diǎn),將通用模擬電路分成五大類,每個(gè)大類提取出經(jīng)典的電路10種,使用主流芯片加工廠的生產(chǎn)工藝,由經(jīng)驗(yàn)豐富的老師把它們的版圖全部設(shè)計(jì)出來,作為庫單元放在服務(wù)器中供學(xué)生參考。在學(xué)生充分理解庫單元實(shí)例的基礎(chǔ)上,將以往設(shè)計(jì)的一些實(shí)用電路布置給學(xué)生,要求在規(guī)定的時(shí)間內(nèi),設(shè)計(jì)出合格的版圖,以此作為最終的考核結(jié)果。學(xué)生在學(xué)習(xí)課程期間,可以接觸到不同工藝、不同結(jié)構(gòu)的多種類電路,而且必須在規(guī)定的時(shí)間內(nèi)設(shè)計(jì)出版圖,這極大的促進(jìn)了他們學(xué)習(xí)的積極性和時(shí)間觀念。學(xué)生在設(shè)計(jì)版圖的過程中,會(huì)遇到多種問題,他們會(huì)采取問老師答疑,和同學(xué)討論的多種方式解決,不僅能督促他們平時(shí)上課認(rèn)真聽講,而且對遇到的問題也能多角度思考,最重要的是他們親自動(dòng)手設(shè)計(jì)版圖,將工藝、電路、器件綜合考慮,在約定的時(shí)間內(nèi)能力得到極大提高。老師根據(jù)學(xué)生上傳至服務(wù)器中設(shè)計(jì)的不同項(xiàng)目版圖打分,而且將每個(gè)項(xiàng)目的得分出具詳細(xì)的報(bào)告,對學(xué)生的成績進(jìn)行點(diǎn)評(píng)。學(xué)生通過查閱報(bào)告,能夠知道課程學(xué)習(xí)的缺點(diǎn)和得分項(xiàng),為下一次提高設(shè)計(jì)成績是一個(gè)很好的參考。除了日常學(xué)習(xí)設(shè)計(jì)版圖項(xiàng)目,學(xué)生可以爭取參加微電子專業(yè)的一些比賽,通過比賽體會(huì)一些具有挑戰(zhàn)性的版圖設(shè)計(jì)項(xiàng)目,來提高學(xué)生在實(shí)際場景下如何發(fā)揮設(shè)計(jì)能力和項(xiàng)目組織能力,為他們未來進(jìn)入職場從事版圖設(shè)計(jì)工作奠定堅(jiān)實(shí)的專業(yè)能力和實(shí)際解決問題能力。

四、總結(jié)

《集成電路版圖設(shè)計(jì)》課程是一門兼具理論基礎(chǔ)和實(shí)踐鍛煉想結(jié)合的課程,對它的講授不僅需要扎實(shí)的理論基礎(chǔ),還需合理的實(shí)踐環(huán)節(jié)配合,才能取得良好的教學(xué)效果。

作者簡介:鞠家欣(1972—),黑龍江雙城人,碩士,講師,研究方向:集成電路設(shè)計(jì)與測試技術(shù)。

版圖設(shè)計(jì)畢業(yè)論文:微電子專業(yè)集成電路版圖設(shè)計(jì)的教學(xué)研究

摘要:集成電路版圖設(shè)計(jì)涵蓋了微電子學(xué)、電路理論、計(jì)算機(jī)圖形學(xué)等諸多學(xué)科的基礎(chǔ)理論,這是微電子學(xué)專業(yè)的辦學(xué)重要特色和人才培養(yǎng)重點(diǎn)方向。以CMOS反相器和基本MOS差分放大器為例,介紹了集成電路版圖設(shè)計(jì)的教學(xué)內(nèi)容。學(xué)生通過集成電路版圖設(shè)計(jì)的教學(xué)環(huán)節(jié),鞏固了專業(yè)課程的理論知識(shí),提高了集成電路設(shè)計(jì)的實(shí)踐能力。

關(guān)鍵詞:集成電路設(shè)計(jì);版圖;CMOS

集成電路(Integrated Circuit)產(chǎn)業(yè)是典型的知識(shí)密集型、技術(shù)密集型、資本密集和人才密集型的高科技產(chǎn)業(yè),是關(guān)系國民經(jīng)濟(jì)和社會(huì)發(fā)展全局的基礎(chǔ)性、先導(dǎo)性和戰(zhàn)略性產(chǎn)業(yè),是新一代信息技術(shù)產(chǎn)業(yè)發(fā)展的核心和關(guān)鍵,對其他產(chǎn)業(yè)的發(fā)展具有巨大的支撐作用。經(jīng)過30多年的發(fā)展,我國集成電路產(chǎn)業(yè)已初步形成了設(shè)計(jì)、芯片制造和封測三業(yè)并舉的發(fā)展格局,產(chǎn)業(yè)鏈基本形成。但與國際先進(jìn)水平相比,我國集成電路產(chǎn)業(yè)還存在發(fā)展基礎(chǔ)較為薄弱、企業(yè)科技創(chuàng)新和自我發(fā)展能力不強(qiáng)、應(yīng)用開發(fā)水平急待提高、產(chǎn)業(yè)鏈有待完善等問題。在集成電路產(chǎn)業(yè)中,集成電路設(shè)計(jì)是整個(gè)產(chǎn)業(yè)的龍頭和靈魂。而我國集成電路設(shè)計(jì)產(chǎn)業(yè)的發(fā)展遠(yuǎn)滯后于計(jì)算機(jī)與通信產(chǎn)業(yè),集成電路設(shè)計(jì)人才嚴(yán)重匱乏,已成為制約行業(yè)發(fā)展的瓶頸。因此,培養(yǎng)大量高水平的集成電路設(shè)計(jì)人才,是當(dāng)前集成電路產(chǎn)業(yè)發(fā)展中一個(gè)亟待解決的問題,也是高校微電子等相關(guān)專業(yè)改革和發(fā)展的機(jī)遇和挑戰(zhàn)。[1-4]

一、集成電路版圖設(shè)計(jì)軟件平臺(tái)

為了滿足新形勢下集成電路人才培養(yǎng)和科學(xué)研究的需要,合肥工業(yè)大學(xué)(以下簡稱“我校”)從2005年起借助于大學(xué)計(jì)劃,和美國Mentor Graphics公司、Xilinx公司、Altera公司、華大電子等公司合作建立了EDA實(shí)驗(yàn)室,配備了ModelSim、IC Station、Calibre、Xilinx ISE、Quartus II、九天Zeni設(shè)計(jì)系統(tǒng)等EDA軟件。我校相繼開設(shè)了與集成電路設(shè)計(jì)密切相關(guān)的本科課程,如集成電路設(shè)計(jì)基礎(chǔ)、模擬集成電路設(shè)計(jì)、集成電路版圖設(shè)計(jì)與驗(yàn)證、超大規(guī)模集成電路設(shè)計(jì)、ASIC設(shè)計(jì)方法、硬件描述語言等。同時(shí)對課程體系進(jìn)行了修訂,注意相關(guān)課程之間相互銜接,關(guān)鍵內(nèi)容不遺漏,突出集成電路設(shè)計(jì)能力的培養(yǎng),通過對課程內(nèi)容的精選、重組和充實(shí),結(jié)合實(shí)驗(yàn)教學(xué)環(huán)節(jié)的開展,構(gòu)成了系統(tǒng)的集成電路設(shè)計(jì)教學(xué)過程。[5,6]

集成電路設(shè)計(jì)從實(shí)現(xiàn)方法上可以分為三種:全定制(full custom)、半定制(Semi-custom)和基于FPGA/CPLD可編程器件設(shè)計(jì)。全定制集成電路設(shè)計(jì),特別是其后端的版圖設(shè)計(jì),涵蓋了微電子學(xué)、電路理論、計(jì)算機(jī)圖形學(xué)等諸多學(xué)科的基礎(chǔ)理論,這是微電子學(xué)專業(yè)的辦學(xué)重要特色和人才培養(yǎng)重點(diǎn)方向,目的是給本科專業(yè)學(xué)生打下堅(jiān)實(shí)的設(shè)計(jì)理論基礎(chǔ)。

在集成電路版圖設(shè)計(jì)的教學(xué)中,采用的是中電華大電子設(shè)計(jì)公司設(shè)計(jì)開發(fā)的九天EDA軟件系統(tǒng)(Zeni EDA System),這是中國唯一的具有自主知識(shí)產(chǎn)權(quán)的EDA工具軟件。該軟件與國際上流行的EDA系統(tǒng)兼容,支持百萬門級(jí)的集成電路設(shè)計(jì)規(guī)模,可進(jìn)行國際通用的標(biāo)準(zhǔn)數(shù)據(jù)格式轉(zhuǎn)換,它的某些功能如版圖編輯、驗(yàn)證等已經(jīng)與國際產(chǎn)品相當(dāng)甚至更優(yōu),已經(jīng)在商業(yè)化的集成電路設(shè)計(jì)公司以及東南大學(xué)等國內(nèi)二十多所高校中得到了應(yīng)用,特別是在模擬和高速集成電路的設(shè)計(jì)中發(fā)揮了強(qiáng)大的功能,并成功開發(fā)出了許多實(shí)用的集成電路芯片。

九天EDA軟件系統(tǒng)包括ZeniDM(Design Management)設(shè)計(jì)管理器,ZeniSE(Schematic Editor)原理圖編輯器,ZeniPDT(physical design tool)版圖編輯工具,ZeniVERI(Physical Design Verification Tools)版圖驗(yàn)證工具,ZeniHDRC(Hierarchical Design Rules Check)層次版圖設(shè)計(jì)規(guī)則檢查工具,ZeniPE(Parasitic Parameter Extraction)寄生參數(shù)提取工具,ZeniSI(Signal Integrity)信號(hào)完整性分析工具等幾個(gè)主要模塊,實(shí)現(xiàn)了從集成電路電路原理圖到版圖的整個(gè)設(shè)計(jì)流程。

二、集成電路版圖設(shè)計(jì)的教學(xué)目標(biāo)

根據(jù)培養(yǎng)目標(biāo)結(jié)合九天EDA軟件的功能特點(diǎn),在本科生三年級(jí)下半學(xué)期開設(shè)了為期一周的以九天EDA軟件為工具的集成電路版圖設(shè)計(jì)課程。

在集成電路版圖設(shè)計(jì)的教學(xué)中,首先對集成電路設(shè)計(jì)的一些相關(guān)知識(shí)進(jìn)行回顧,介紹版圖設(shè)計(jì)的基礎(chǔ)知識(shí),如集成電路設(shè)計(jì)流程,CMOS基本工藝過程,版圖的基本概念,版圖的相關(guān)物理知識(shí)及物理結(jié)構(gòu),版圖設(shè)計(jì)的基本流程,版圖的總體設(shè)計(jì),布局規(guī)劃以及標(biāo)準(zhǔn)單元的版圖設(shè)計(jì)等。然后結(jié)合上機(jī)實(shí)驗(yàn),講解Unix和Linux操作系統(tǒng)的常用命令,詳細(xì)闡述基于標(biāo)準(zhǔn)單元庫的版圖設(shè)計(jì)流程,指導(dǎo)學(xué)生使用ZeniSE繪制電路原理圖,使用ZeniPDT進(jìn)行NMOS/PMOS以及反相器的簡單版圖設(shè)計(jì)。在此基礎(chǔ)上,讓學(xué)生自主選擇一些較為復(fù)雜的單元電路進(jìn)行設(shè)計(jì),如數(shù)據(jù)選擇器、MOS 差分放大器電路、二四譯碼器、基本RS觸發(fā)器、六管MOS 靜態(tài)存儲(chǔ)單元等,使學(xué)生能深入理解集成電路版圖設(shè)計(jì)的概念原理和設(shè)計(jì)方法。最后介紹版圖驗(yàn)證的基本思想及實(shí)現(xiàn),包括設(shè)計(jì)規(guī)則的檢查(DRC),電路參數(shù)的檢查(ERC),網(wǎng)表一致性檢查(LVS),指導(dǎo)學(xué)生使用ZeniVERI等工具進(jìn)行版圖驗(yàn)證、查錯(cuò)和修改。

版圖設(shè)計(jì)畢業(yè)論文:基于平衡技術(shù)的微帶低通濾波器版圖優(yōu)化設(shè)計(jì)

摘要:微帶線結(jié)構(gòu)的不連續(xù)性,使反射損耗和插入損耗較大,影響濾波器性能。利用平衡法提升濾波器并聯(lián)分支中較低的特性阻抗,達(dá)到降低微帶線寬度的目的,從而均衡整個(gè)濾波器的寬度,使版圖仿真優(yōu)化。以一個(gè)5階切比雪夫微帶低通濾波器設(shè)計(jì)為例,仿真結(jié)果表明,濾波器通帶內(nèi)反射損耗從-9.566 dB降低到-15.837 dB,插入損耗從0.679 dB降低到0.322 dB,與直接采用Richards變換和Kuroda規(guī)則設(shè)計(jì)微帶低通濾波器相比,該方法能縮短濾波器設(shè)計(jì)周期,獲得滿意的濾波器性能。

關(guān)鍵詞:低通濾波器; 微帶線; 平衡技術(shù); 版圖優(yōu)化

微帶濾波器是無線通信的重要部件。隨著無線通信系統(tǒng)的發(fā)展,加速了微帶濾波器的研究進(jìn)程,發(fā)明許多Q值適中、重量輕、穩(wěn)定性好的微帶濾波器。計(jì)算機(jī)輔助設(shè)計(jì)軟件的出現(xiàn),使設(shè)計(jì)者在設(shè)計(jì)過程中避免繁雜的計(jì)算過程,提高復(fù)雜電路設(shè)計(jì)效率,縮短設(shè)計(jì)周期。設(shè)計(jì)者通常運(yùn)用Richards變換與Kuroda規(guī)則設(shè)計(jì)微帶低通濾波器[13]。該方法設(shè)計(jì)的濾波器在接頭處會(huì)由于相鄰耦合線線寬不同產(chǎn)生不連續(xù)性,使插入損耗較大,不滿足一些射頻通信的要求。為了解決此問題,采用電磁帶隙結(jié)構(gòu)與高低阻抗線結(jié)合的方法,改善了通帶性能,但阻帶性能變差,體積變大[4]。運(yùn)用分形技術(shù)設(shè)計(jì)高低阻抗濾波器取得了一定的效果,但設(shè)計(jì)方法復(fù)雜,對于加工精度要求較高[5]。

本文提出一種采用平衡技術(shù)優(yōu)化微帶低通濾波器版圖的方法,并以5節(jié)切比雪夫微帶低通濾波器為例,通過在低特性阻抗并聯(lián)傳輸線節(jié)點(diǎn)處再并聯(lián)相同長度的微帶線,修改兩條微帶線特性阻抗為原來的兩倍達(dá)到優(yōu)化版圖的目的。原理圖仿真和版圖仿真均驗(yàn)證了該方法的可行性。該方法簡單易行,只需使用ADS軟件就能方便修改,并且可以用于帶阻濾波器等其他微帶結(jié)構(gòu)的濾波器,具有良好的應(yīng)用前景。

1平衡技術(shù)設(shè)計(jì)原理

使用Richards變換和Kuroda規(guī)則設(shè)計(jì)微帶濾波器,所得串并聯(lián)傳輸線長度理論上是相同的。選取各支節(jié)傳輸線長度l為截止頻率下波長的1/8,由終端開路傳輸線阻抗分布表達(dá)式:Zin(l)=-jZ0tan β1(1)式中:傳播常數(shù)β=2π/λ;Z0為特性阻抗。將l=λ/8帶入式(1)可得:Zin(l)=-jZ0(2)若傳輸線長度l保持不變,使兩條特性阻抗Z0相同長度l相等的終端開路傳輸線并聯(lián)于同一點(diǎn),則其輸入阻抗會(huì)減半為Z0/2;反之,將兩段并聯(lián)終端開路傳輸線特性阻抗提高1倍并聯(lián)于同一點(diǎn)且保持傳輸線長度l不變,則輸入阻抗保持不變?yōu)閆0。

由以上推導(dǎo)可知,用平衡技術(shù)修改濾波器并聯(lián)終端開路傳輸線不影響各節(jié)的輸入阻抗。

2用Richards變換、Kuroda規(guī)則設(shè)計(jì)微帶低通濾波器由于當(dāng)頻率較高時(shí)電感和電容應(yīng)選的元件值過小,由于寄生參數(shù)的影響,如此小的電感和電容已經(jīng)不能再使用集中參數(shù)元件并且工作波長與濾波器元件的物理尺寸相近,濾波器元件之間的距離不可忽視,需要考慮分布參數(shù)效應(yīng)[67]。基于以上原因,設(shè)計(jì)者先設(shè)計(jì)出有電感、電容組成的集中參數(shù)濾波器,然后運(yùn)用Richards變換和Kuroda規(guī)則轉(zhuǎn)換為合適的微帶濾波器結(jié)構(gòu)。

本文設(shè)計(jì)的微帶低通濾波器指標(biāo)如下:

截止頻率為f0=3 GHz,通帶內(nèi)波紋為0.5 dB,在2倍截止頻率處具有不小于40 dB的帶外衰減,輸入/輸出阻抗為50 Ω?;搴穸菻=0.762 mm,基板相對介電常數(shù)Er=3.66,磁導(dǎo)率μ=1 H/M,金屬電導(dǎo)率為5.88 mS/m,封裝高度Hu=1.0+33 mm,金屬層厚度T=0.035 mm。

通過計(jì)算選用5階切比雪夫微帶低通濾波器模型進(jìn)行設(shè)計(jì)[8]。電路原理及其仿真結(jié)果如圖1所示。

圖1微帶低通濾波器原理電路及仿真結(jié)果由圖可以看出串聯(lián)和并聯(lián)的微帶線長度均為λ/8,而寬度與特性阻抗大小相關(guān)。

由于原理圖仿真是在理想條件下進(jìn)行的,而實(shí)際的電路板需要考慮耦合和干擾等因素的影響。ADS版圖仿真是采用矩量法進(jìn)行電磁仿真,對版圖的仿真結(jié)果更符合電路實(shí)際情況[8]。圖1所示的濾波器原理圖對應(yīng)的版圖結(jié)構(gòu)及仿真結(jié)構(gòu)如圖2所示。

圖2微帶低通濾波器版圖結(jié)構(gòu)及仿真結(jié)果3用平衡技術(shù)設(shè)計(jì)微帶低通濾波器

由于微帶傳輸線的特性阻抗越高,傳輸線的寬度就越窄。反之,阻抗越低,寬度就越寬。從第2節(jié)中的濾波器原理圖可看出,TL3和TL5兩段并聯(lián)的微帶線,他們的寬度比較寬即特性阻抗偏大,使用平衡技術(shù),在TL3并聯(lián)點(diǎn)處再并聯(lián)一根相同長度的終端開路微帶線,將兩根線的特性阻抗擴(kuò)大為原來的2倍,并運(yùn)用ADS軟件中的LineCalc工具推算出線的寬度W。對于TL5用同樣的方法設(shè)計(jì)。電路原理及仿真結(jié)果如圖3所示。

圖3改進(jìn)后微帶低通濾波器原理電路及仿真結(jié)果圖3所示的濾波器原理圖對應(yīng)的版圖結(jié)構(gòu)及仿真結(jié)構(gòu)如圖4所示。

圖4改進(jìn)后微帶低通濾波器版圖結(jié)構(gòu)及仿真結(jié)果由圖1和圖3的原理圖仿真結(jié)果可以看出,優(yōu)化前的反射損耗,插入損耗與優(yōu)化后的數(shù)值幾乎相同。這與使用平衡技術(shù)修改原理圖后不改變原有濾波器阻抗的結(jié)論相一致。

由圖2和圖4的版圖仿真結(jié)果可以看出,通帶內(nèi)反射損耗由-9.566 dB降低到-15.837 dB,插入損耗由0.679 dB降低到0.322 dB。

可以看出,運(yùn)用平衡技術(shù)均衡微帶低通濾波器微帶線寬度后,使通帶內(nèi)反射損耗明顯改善,插入損耗明顯降低,達(dá)到了性能指標(biāo)。證明了該方法的有效性。

4結(jié)語

本文提出一種用平衡技術(shù)優(yōu)化微帶低通濾波器版圖的方法,討論了平衡技術(shù)的設(shè)計(jì)原理,并以一個(gè)5階切比雪夫微帶低通濾波器設(shè)計(jì)為例,仿真結(jié)果表明此濾波器版圖仿真性能優(yōu)于傳統(tǒng)方法設(shè)計(jì)的微帶濾波器。該方法簡單易行,只需使用ADS軟件就能方便修改,并且可以用于帶阻濾波器等其他微帶結(jié)構(gòu)的濾波器,有效地縮短了設(shè)計(jì)周期,具有良好的應(yīng)用前景。

版圖設(shè)計(jì)畢業(yè)論文:引入CDIO模式的《集成電路版圖設(shè)計(jì)》課程開發(fā)實(shí)踐

摘要:伴隨著高等職業(yè)院校的教育改革,將CDIO的教育模式引入到《集成電路版圖設(shè)計(jì)》教學(xué)開發(fā)中。鑒于CDIO教育理念的先進(jìn)性、教學(xué)層面的系統(tǒng)性及其廣泛的適應(yīng)性,對《集成電路版圖設(shè)計(jì)》課程進(jìn)行了重新設(shè)計(jì)。本文從引入CDIO教育模式的必要性與必然性的分析到課程評(píng)估的結(jié)論,闡述了該課程設(shè)計(jì)開發(fā)的整個(gè)過程。

關(guān)鍵詞:CDIO;高職教育;集成電路版圖設(shè)計(jì);課程開發(fā)

CDIO是基于項(xiàng)目學(xué)習(xí)的一種模式,是工科教育“做中學(xué)”的一種。CDIO代表構(gòu)思(Conceive)、設(shè)計(jì)(Design)、實(shí)施(Implement)、運(yùn)作(Operate),它以工程項(xiàng)目生命周期為載體,培養(yǎng)學(xué)生的學(xué)術(shù)知識(shí)、職業(yè)道德和運(yùn)用知識(shí)解決問題的能力、終生學(xué)習(xí)能力、團(tuán)隊(duì)交流能力和大系統(tǒng)掌控能力。此教育模式是由麻省理工學(xué)院(MIT)和瑞典皇家工學(xué)院等發(fā)起,現(xiàn)已運(yùn)用到幾十個(gè)大學(xué)的眾多專業(yè),并獲得產(chǎn)業(yè)界認(rèn)可。

高職教育改革及引入CDIO教育模式的必要性與必然性

高等教育是在完成中等教育的基礎(chǔ)上進(jìn)行的專業(yè)教育,是培養(yǎng)高級(jí)專門人才的社會(huì)活動(dòng)。職業(yè)教育是對受教育者施以從事某種職業(yè)所必需的知識(shí)與技能的訓(xùn)練,因此,職業(yè)教育亦稱職業(yè)技術(shù)教育或?qū)崢I(yè)教育?!案叩嚷殬I(yè)教育”是“高等”與“職業(yè)教育”兩個(gè)概念的復(fù)合。

我國高等職業(yè)教育的人才培養(yǎng)目標(biāo)從開始提出的“技術(shù)型人才”、“應(yīng)用型人才”,到后來的“實(shí)用型人才”,再到現(xiàn)在提出的“高技能人才”,多年來一直處于變化不定之中。高職培養(yǎng)的人才類型是實(shí)用型、應(yīng)用型,與普通高等教育培養(yǎng)的人才是有差異的。所謂高技能人才,工作內(nèi)涵是將成熟的技術(shù)和管理規(guī)范轉(zhuǎn)變?yōu)楝F(xiàn)實(shí)的生產(chǎn)和服務(wù),工作場合和崗位是基層第一線。

蘇州工業(yè)園區(qū)職業(yè)技術(shù)學(xué)院的辦學(xué)理念為“企業(yè)的需要,我們的目標(biāo);學(xué)生的需求,我們的追求”。近些年,我們在專業(yè)建設(shè)和課程教學(xué)改革方面做了許多探索,協(xié)助畢業(yè)生提高就業(yè)信心,實(shí)現(xiàn)優(yōu)質(zhì)就業(yè),傳統(tǒng)教育下的畢業(yè)生上崗適應(yīng)慢,溝通能力差,動(dòng)手能力弱,缺乏團(tuán)隊(duì)合作經(jīng)驗(yàn),缺少創(chuàng)新精神和創(chuàng)新能力、職業(yè)道德、敬業(yè)精神等人文素質(zhì)薄弱,頻繁“跳槽”等,而這些都難以符合現(xiàn)代企業(yè)的需要。教育模式的改革勢在必行,而CDIO教育模式恰恰有針對性地對傳統(tǒng)教學(xué)中存在的諸多弊端做出了全面、系統(tǒng)的指導(dǎo),CDIO教育模式引領(lǐng)了高等職業(yè)教育改革的必然性。

應(yīng)用CDIO模式開發(fā)《集成電路版圖設(shè)計(jì)》課程

(一)《集成電路版圖設(shè)計(jì)》的課程理念與思路

《集成電路版圖設(shè)計(jì)》課程是一門實(shí)踐性很強(qiáng)的課程,針對課程特點(diǎn),課程設(shè)計(jì)的基本思路是從崗位實(shí)際需求出發(fā),從培養(yǎng)學(xué)生學(xué)習(xí)興趣入手,在傳授知識(shí)過程中重視能力培養(yǎng),強(qiáng)化學(xué)生的自學(xué)能力和分析、解決問題的能力。具體做法是:

全程實(shí)施項(xiàng)目教學(xué) 本課程圍繞多個(gè)相對獨(dú)立的案例展開。案例的選擇強(qiáng)調(diào)源于工程實(shí)際,注重內(nèi)容的代表性、針對性、實(shí)用性以及先進(jìn)性,理論知識(shí)的選擇以“必需,夠用”為原則。教學(xué)實(shí)踐表明,案例教學(xué)使教學(xué)內(nèi)容直觀、具體、形象,易于接受,也使教學(xué)內(nèi)容與工程實(shí)際及職業(yè)崗位緊密聯(lián)系,有利于課內(nèi)知識(shí)向工程實(shí)際拓寬、技術(shù)知識(shí)向工程實(shí)踐遷移,從而有力促進(jìn)了學(xué)生職業(yè)能力的發(fā)展。

全程實(shí)施情境式教學(xué) 本課程改變了將理論與實(shí)訓(xùn)分開的傳統(tǒng)模式,在教學(xué)中設(shè)計(jì)了8個(gè)學(xué)習(xí)情境,每個(gè)情境都以相應(yīng)的工業(yè)案例為主線,按照“資訊決策計(jì)劃實(shí)施檢查評(píng)價(jià)”的流程授課,使學(xué)員在學(xué)中做、做中學(xué),以提高IC版圖設(shè)計(jì)技能訓(xùn)練的水平。同時(shí),通過情境式教學(xué),學(xué)生的學(xué)習(xí)興趣和參與度大大提高,不僅培養(yǎng)了團(tuán)隊(duì)合作精神和溝通能力,還培養(yǎng)了學(xué)生的組織協(xié)調(diào)能力。

小班互動(dòng)式教學(xué) 為達(dá)到更好的教學(xué)效果,本課程采用24人小班制教學(xué),全程在實(shí)訓(xùn)室教學(xué)。根據(jù)教學(xué)內(nèi)容采取“先講,后練”、“邊講,邊練”、“先練,后講”等方法,從第一次課開始就設(shè)置實(shí)訓(xùn)操作內(nèi)容,調(diào)動(dòng)學(xué)生的主觀能動(dòng)性,增加師生互動(dòng)性。

企業(yè)實(shí)訓(xùn) 通過校企合作建設(shè)校外實(shí)訓(xùn)基地的方式,安排學(xué)生到專業(yè)設(shè)計(jì)公司進(jìn)行實(shí)訓(xùn),擴(kuò)展學(xué)生的知識(shí)面和工程認(rèn)識(shí),同時(shí),提高學(xué)生對項(xiàng)目整個(gè)流程的認(rèn)知,提高學(xué)生的抗壓力,對職業(yè)道德和敬業(yè)精神的培養(yǎng)具有實(shí)踐意義。

(二)《集成電路版圖設(shè)計(jì)》之課程標(biāo)準(zhǔn)

《集成電路版圖設(shè)計(jì)》課程標(biāo)準(zhǔn)涉及四個(gè)方面內(nèi)容。

專業(yè)知識(shí)設(shè)計(jì) 專業(yè)知識(shí)方面圍繞IC版圖設(shè)計(jì)職業(yè)標(biāo)準(zhǔn)所要求的必要的基礎(chǔ)知識(shí)和基本技能把本課程的內(nèi)容分成多個(gè)模塊:基礎(chǔ)模塊(系統(tǒng)操作部分)、應(yīng)用模塊(軟件使用部分)、 基本技能訓(xùn)練模塊(基本單元的版圖設(shè)計(jì))、技能提升模塊(整體布局與項(xiàng)目掌控)等4個(gè)模塊包含了8個(gè)情境。具體內(nèi)容包括:Linux基礎(chǔ)、版圖識(shí)讀與電路提取、design rule簡表整理、繪制符合design rule的NAD2/NOR2版圖、DRC及LVS驗(yàn)證及沖突識(shí)別和修改、standard cell的框架結(jié)構(gòu)制定、standard cell版圖設(shè)計(jì)、芯片CD4013的版圖設(shè)計(jì)等,每一個(gè)情境都對應(yīng)了某個(gè)特定崗位的部分工作內(nèi)容。這些情境不僅可提供學(xué)生對崗位的認(rèn)知機(jī)會(huì),同時(shí),也可激發(fā)自主學(xué)習(xí)的能動(dòng)性和積極性。

個(gè)人職業(yè)技能和職業(yè)道德培養(yǎng) 在教學(xué)中注重對學(xué)生職業(yè)技能培養(yǎng)的同時(shí)也加強(qiáng)對學(xué)生職業(yè)道德的養(yǎng)成,用企業(yè)對員工的標(biāo)準(zhǔn)來要求學(xué)生進(jìn)行實(shí)訓(xùn),采用定期上交項(xiàng)目總結(jié)報(bào)告和分組進(jìn)行項(xiàng)目實(shí)施進(jìn)度報(bào)告等方式,為學(xué)生今后在企業(yè)的發(fā)展奠定基礎(chǔ)。

團(tuán)隊(duì)意識(shí)的培養(yǎng) 能夠快速地融入一個(gè)集體當(dāng)中是從事設(shè)計(jì)與研發(fā)人員必備的能力,而常常被教育者和學(xué)生所忽視,因?yàn)閭鹘y(tǒng)的教育方式更多地關(guān)注對單獨(dú)個(gè)體培養(yǎng)的成效,而實(shí)際工作中“獨(dú)自作戰(zhàn)”的時(shí)代過去了,取而代之的是團(tuán)隊(duì)合作,絕大多數(shù)的設(shè)計(jì)工作需要一個(gè)團(tuán)隊(duì)協(xié)作完成,所以這時(shí)人際交往就凸顯其重要性。在教學(xué)中,我們讓學(xué)生意識(shí)到團(tuán)隊(duì)協(xié)作的重要性和必要性,并在實(shí)訓(xùn)過程中從點(diǎn)滴做起,讓學(xué)生具有團(tuán)隊(duì)合作的意識(shí),如文件夾命名方式、文件管理等采取利于團(tuán)隊(duì)完成項(xiàng)目的方式進(jìn)行設(shè)置。

《集成電路版圖設(shè)計(jì)》課程的CDIO系統(tǒng)的搭建 《集成電路版圖設(shè)計(jì)》課程的CDIO系統(tǒng)按照下面的框架完成了搭建任務(wù),如表1所示。

(三)《集成電路版圖設(shè)計(jì)》課程CDIO系統(tǒng)的具體實(shí)施

我們在CDIO教學(xué)大綱的指導(dǎo)下,對《集成電路版圖設(shè)計(jì)》課程進(jìn)行設(shè)計(jì)如下:本課程以源于企業(yè)真實(shí)的項(xiàng)目(如design rule簡表整理,見表2)為載體,將所有需要學(xué)習(xí)和掌握的內(nèi)容都圍繞該項(xiàng)目設(shè)計(jì),形成一個(gè)整體。緊緊圍繞知識(shí)體系教學(xué)專業(yè)技能提升職業(yè)道德養(yǎng)成主線組織教學(xué),快樂教學(xué)、快樂學(xué)習(xí),引導(dǎo)學(xué)生主動(dòng)學(xué)、能做事、會(huì)做人。

本課程特色 (1)雙語教學(xué),強(qiáng)化應(yīng)用,適應(yīng)外企工作。 教師采用雙語教學(xué)課件與講義,用兩種語言進(jìn)行教學(xué),學(xué)生采用兩種語言進(jìn)行作業(yè),從而強(qiáng)化專業(yè)詞匯的學(xué)習(xí),為適應(yīng)全英文的工作環(huán)境打下了良好的基礎(chǔ)。(2)按企業(yè)標(biāo)準(zhǔn)建設(shè),內(nèi)容先進(jìn)、實(shí)用。采用了與眾多研發(fā)設(shè)計(jì)公司一致的SUN工作站,建立以UNIX/LINUX系統(tǒng)為基礎(chǔ)的網(wǎng)絡(luò),安裝版圖設(shè)計(jì)普遍使用的Cadence工具軟件。(3)企業(yè)項(xiàng)目實(shí)訓(xùn)。以企業(yè)的研發(fā)項(xiàng)目(Reverse、design rule簡表整理;DRC及LVS驗(yàn)證及沖突識(shí)別和修改、standard cell庫的建立、芯片CD4013設(shè)計(jì)等)為載體,在真實(shí)的環(huán)境(按照企業(yè)標(biāo)準(zhǔn)進(jìn)行實(shí)訓(xùn)室配置)下,完成生產(chǎn)性實(shí)訓(xùn)任務(wù),完成課程開發(fā)教學(xué)任務(wù)的教師具有多年在外企研發(fā)設(shè)計(jì)的工程背景,學(xué)生完成工學(xué)結(jié)合的作品符合企業(yè)設(shè)計(jì)需要。(4)綜合全面的評(píng)價(jià)體系。理論與實(shí)踐評(píng)價(jià)結(jié)合,技能訓(xùn)練與表達(dá)訓(xùn)練結(jié)合,校內(nèi)教師評(píng)價(jià)與企業(yè)評(píng)價(jià)結(jié)合,學(xué)生的自評(píng)與互評(píng)結(jié)合。評(píng)價(jià)方面包括學(xué)習(xí)態(tài)度、5S素養(yǎng)、項(xiàng)目報(bào)告的書寫、項(xiàng)目匯報(bào)、實(shí)踐考核和理論考試(按版圖設(shè)計(jì)職業(yè)標(biāo)準(zhǔn)四級(jí)難度要求隨機(jī)從題庫抽取試題)。(5)增強(qiáng)教師的教學(xué)技能。中青年教師、工程師都有學(xué)院內(nèi)部培訓(xùn)課程和企業(yè)項(xiàng)目參與的經(jīng)驗(yàn),并且要求每年都要開新課和實(shí)驗(yàn)、訓(xùn)練項(xiàng)目。每個(gè)月都有業(yè)務(wù)和管理能力評(píng)價(jià)和學(xué)期段考核。參加過的培訓(xùn)都要有項(xiàng)目報(bào)告和培訓(xùn)體驗(yàn)交流。在實(shí)行課程輪換的同時(shí),實(shí)行崗位輪換,使教師熟悉專業(yè)核心技術(shù)并有扎實(shí)的基本功。專業(yè)教師通過深入企業(yè)和承當(dāng)企業(yè)培訓(xùn)等途徑,促使教學(xué)與生產(chǎn)實(shí)際結(jié)合。倡導(dǎo)教師既是講師、又是實(shí)訓(xùn)指導(dǎo)教師,還是培訓(xùn)師和項(xiàng)目工程師,促進(jìn)“雙師型”教師培養(yǎng)。組織教師參加行業(yè)比賽,掌握行業(yè)的發(fā)展動(dòng)向和需求,客觀評(píng)價(jià)教師的技能水平。

課程質(zhì)量監(jiān)控體系的建立 教學(xué)質(zhì)量的好與壞,不單是從學(xué)生的考核成績上來評(píng)價(jià),還在學(xué)院內(nèi)設(shè)立了專門的教學(xué)監(jiān)管體系,督導(dǎo)組會(huì)不定期地進(jìn)行課堂教學(xué)的抽查與監(jiān)管。更重要的是畢業(yè)的學(xué)生受到企業(yè)的好評(píng),這是對我們課程質(zhì)量最客觀的評(píng)價(jià)。

課程評(píng)估

(一)基本達(dá)到預(yù)期目的

經(jīng)過多年的教學(xué)實(shí)踐,CDIO教學(xué)模式在《集成電路版圖設(shè)計(jì)》課程中已初見成效,不僅學(xué)生在學(xué)習(xí)過程中表現(xiàn)出很高的積極性,參加職業(yè)資格認(rèn)證測試的微電子專業(yè)的學(xué)生全部取得了集成電路版圖設(shè)計(jì)師四級(jí)職業(yè)證書,通過對2008屆、2009界畢業(yè)生就業(yè)調(diào)查,我院微電子類專業(yè)的畢業(yè)生,被蘇州工業(yè)園區(qū)、蘇州新區(qū)多家知名外企爭相錄用,就業(yè)率達(dá)100%。這些企業(yè)普遍認(rèn)為我院的學(xué)生工作上手快,適應(yīng)能力強(qiáng),擔(dān)任技術(shù)員及助理工程師以上崗位的占75%左右,不少學(xué)生已升任工程師助理及工程師。

(二)改進(jìn)方向和途徑

配合微電子專業(yè)建設(shè),進(jìn)行課程教學(xué)改革,使我們培養(yǎng)出來的學(xué)生全方位發(fā)展,符合企業(yè)的需求,不是單搞課程的開發(fā),而是要建立起貫通的課程體系,從研發(fā)到制造,把先進(jìn)的CDIO教學(xué)模式合理運(yùn)用到自己的教學(xué)實(shí)踐中去,不能機(jī)械地照搬照抄;要針對學(xué)生的實(shí)際水平和教學(xué)內(nèi)容,提供知識(shí)供學(xué)生學(xué)習(xí)。要加強(qiáng)與企業(yè)的合作,緊隨行業(yè)技術(shù)的更新步伐,及時(shí)更新案例項(xiàng)目。

CDIO教育模式的先進(jìn)性、普遍實(shí)用性是毋庸置疑的,許多學(xué)院結(jié)合本校和行業(yè)特點(diǎn)都探索出新的模式。我們借鑒新加坡南洋理工學(xué)院教學(xué)模塊化的成功案例,利用CDIO教育模式對《集成電路版圖設(shè)計(jì)》課程進(jìn)行開發(fā),對高等職業(yè)教育的課程改革有一定的指導(dǎo)和借鑒作用。

版圖設(shè)計(jì)畢業(yè)論文:基于九天EDA系統(tǒng)的全定制版圖設(shè)計(jì)

摘要:以反相器等基本單元版圖設(shè)計(jì)為基礎(chǔ),利用華大電子推廣的九天EDA系統(tǒng)軟件,采用0.6um硅柵CMOS工藝,按照全定制集成電路的后端設(shè)計(jì)流程,即基本單元建立、版圖布局布線以及版圖驗(yàn)證對用于數(shù)據(jù)采集的D觸發(fā)器進(jìn)行版圖設(shè)計(jì)。其中著重對數(shù)字電路基本邏輯門版圖設(shè)計(jì)技術(shù)進(jìn)行了探討。此版圖已用于相關(guān)芯片的設(shè)計(jì)中,結(jié)果表明通過該軟件系統(tǒng)設(shè)計(jì)的D觸發(fā)器完全符合設(shè)計(jì)要求。

關(guān)鍵詞:版圖設(shè)計(jì);九天EDA系統(tǒng);D觸發(fā)器

1引言

集成電路(Integrated Circuit,IC)把成千上萬的電子元件包括晶體管、電阻、電容甚至電感集成在一個(gè)微小的芯片上。集成電路版圖設(shè)計(jì)的合理與否、正確與否直接影響到集成電路產(chǎn)品的最終性能[1]。目前,集成電路版圖設(shè)計(jì)的EDA ( Electronic Design Automation)工具較多,但主流的集成電路版圖設(shè)計(jì)的EDA工具價(jià)格昂貴,而我國自主開發(fā)的九天EDA系統(tǒng),具有很高的性價(jià)比,為我們提供了理想的集成電路設(shè)計(jì)工具。

2基本概念

2.1 版圖

版圖是將三維的立體結(jié)構(gòu)轉(zhuǎn)換為二維平面上的幾何圖形的設(shè)計(jì)過程,是一組相互套合的圖形,各層版圖相應(yīng)于不同的工藝步驟,每一層版圖用不同的圖案來表示。它包括了電路尺寸、各層拓?fù)涠x等器件的相關(guān)物理信息,是設(shè)計(jì)者交付給代工廠的最終輸出。

2.2 版圖設(shè)計(jì)

它將電路設(shè)計(jì)中的每一個(gè)元器件包括晶體管、電阻、電容等以及它們之間的連線轉(zhuǎn)換成集成電路制造所需要的版圖信息。主要包括圖形劃分、版圖規(guī)劃、布局布線及壓縮等步驟[2]。版圖設(shè)計(jì)是實(shí)現(xiàn)集成電路制造的必不可少的環(huán)節(jié),它不僅關(guān)系到集成電路的功能是否正確,而且會(huì)在一定程度上影響集成電路的性能、面積、成本與功耗及可靠性等[3]。版圖設(shè)計(jì)是集成電路從設(shè)計(jì)走向制造的橋梁。

2.3 集成電路版圖實(shí)現(xiàn)方法

集成電路版圖實(shí)現(xiàn)方法可以分為全定制(Full-Custom)設(shè)計(jì)和半定制(Semi-Custom)設(shè)計(jì)[4]。半定制設(shè)計(jì)方法包括門陣列設(shè)計(jì)方法、門海設(shè)計(jì)方法、標(biāo)準(zhǔn)單元設(shè)計(jì)方法、積木塊設(shè)計(jì)方法及可編程邏輯器件設(shè)計(jì)方法等。全定制設(shè)計(jì)方法是利用人機(jī)交互圖形系統(tǒng),由版圖設(shè)計(jì)人員從每一個(gè)半導(dǎo)體器件的圖形、尺寸開始設(shè)計(jì),直至整個(gè)版圖的布局和布線。全定制設(shè)計(jì)的特點(diǎn)是針對每一個(gè)元件進(jìn)行電路參數(shù)和版圖參數(shù)的優(yōu)化,可以得到最佳的性能以及最小的芯片尺寸,有利于提高集成度和降低生產(chǎn)成本。隨著設(shè)計(jì)自動(dòng)化的不斷進(jìn)步,全定制設(shè)計(jì)所占比例逐年下降[5]。

3九天EDA系統(tǒng)簡介

華大電子推廣的應(yīng)用的九天EDA系統(tǒng)是我國自主研發(fā)的大規(guī)模集成電路設(shè)計(jì)EDA工具,與國際上主流EDA系統(tǒng)兼容,支持百萬門級(jí)的集成電路設(shè)計(jì)規(guī)模,可進(jìn)行國際通用的標(biāo)準(zhǔn)數(shù)據(jù)格式轉(zhuǎn)換,它已經(jīng)在商業(yè)化的集成電路設(shè)計(jì)公司以及東南大學(xué)等國內(nèi)二十多所高校中得到了應(yīng)用,特別是在模擬和高速集成電路的設(shè)計(jì)中發(fā)揮了作用,成功開發(fā)出了許多實(shí)用的集成電路芯片[6]。其主要包括下面幾個(gè)部分[7]:ZeniSE( Schematic Editor)原理圖編輯工具,它可以進(jìn)行EDIF格式轉(zhuǎn)換,支持第三方的Spice仿真嵌入; ) ZeniPDT ( Physical Design Tool)版圖編輯工具;它能提供多層次、多視窗、多單元的版圖編輯功能,同時(shí)能夠支持百萬門規(guī)模的版圖編輯操作;ZeniVERI ( Physical Design Verification Tools)版圖驗(yàn)證工具它可以進(jìn)行幾何設(shè)計(jì)規(guī)則檢查(DRC) 、電學(xué)規(guī)則檢查( ERC) 及邏輯圖網(wǎng)表和版圖網(wǎng)表比較(LVS)等。

版圖設(shè)計(jì)用到的工具模塊是ZeniPDT,它具備層次化編輯和在線設(shè)計(jì)規(guī)則檢查能力,并提供標(biāo)準(zhǔn)數(shù)據(jù)寫出接口。其設(shè)計(jì)流程如圖1所示[8],

4設(shè)計(jì)實(shí)例

任何一個(gè)CMOS數(shù)字電路系統(tǒng)都是由一些基本的邏輯單元(非門、與非門、或非門等)組成,而基本單元版圖的設(shè)計(jì)是基于晶體管級(jí)的電路圖設(shè)計(jì)的。因而在版圖設(shè)計(jì)中,主要涉及到如何設(shè)計(jì)掩膜版的形狀、如何排列晶體管、接觸孔的位置的安排以及信號(hào)引線的位置安排等。以下以一個(gè)用于數(shù)據(jù)采集的D觸發(fā)器為例進(jìn)行設(shè)計(jì)。

4.1 D觸發(fā)器電路圖及工作原理

D觸發(fā)器電路圖,如圖2所示,此電路圖是通過九天EDA系統(tǒng)工具的ZSE模塊構(gòu)建的,其基本工作原理是:首先設(shè)置CLB=1。當(dāng)時(shí)鐘信號(hào)CLK=0時(shí),DATA信號(hào)通過導(dǎo)通的TG1進(jìn)入主寄存器單元,從寄存器由于TG4的導(dǎo)通而形成閉合環(huán)路,鎖存原來的信號(hào),維持輸出信號(hào)不變。當(dāng)CLK從0跳變到1時(shí),主寄存器單元由于TG2的導(dǎo)通而形成閉合回路,鎖存住上半拍輸入的DATA信號(hào),這個(gè)信號(hào)同時(shí)又通過TG3經(jīng)一個(gè)與非門和一個(gè)反相器到達(dá)Q端輸出。當(dāng)CLK再從1跳變到0時(shí),D觸發(fā)器又進(jìn)入輸入信號(hào)并鎖存原來的輸出狀態(tài)。對于記憶單元有時(shí)必須進(jìn)行設(shè)置,電路中的CLB信號(hào)就擔(dān)當(dāng)了觸發(fā)器置0 的任務(wù)。當(dāng)CLB=0時(shí),兩個(gè)與非門的輸出被強(qiáng)制置到1,不論時(shí)鐘處于0還是1,輸出端Q均被置為0。

4.2 D觸發(fā)器子單元版圖設(shè)計(jì)

圖2所示的D觸發(fā)器由五個(gè)反相器、兩個(gè)與非門、兩個(gè)傳輸門和兩個(gè)鐘控反相器組成。選擇適當(dāng)?shù)倪壿嬮T單元版圖,用這些單元模塊構(gòu)成D觸發(fā)器。

對于全定制的集成電路版圖設(shè)計(jì),需要工作平臺(tái),包括設(shè)計(jì)硬件、設(shè)計(jì)使用的EDA軟件以及版圖設(shè)計(jì)的工藝文件和規(guī)則文件。此D觸發(fā)器的設(shè)計(jì)硬件是一臺(tái)SUN Ultra10工作站,設(shè)計(jì)軟件是九天EDA系統(tǒng),采用0.6um硅柵CMOS工藝。

CMOS反相器是數(shù)字電路中最基本單元,由一對互補(bǔ)的MOS管組成。上面為PMOS管(負(fù)載管),下面為NMOS管(驅(qū)動(dòng)管)。由反相器電路的邏輯“非”功能可以擴(kuò)展出“與非”、“或非”等基本邏輯電路,進(jìn)而得到各種組合邏輯電路和時(shí)序邏輯電路。

在電路圖中,各器件端點(diǎn)之間所畫的線表示連線,可以用兩條線的簡單交叉來表示。但對于具體的物理版圖設(shè)計(jì),必須關(guān)心不同連線層之間物理上的相互關(guān)系。在硅CMOS工藝中,不能把N型和 P型擴(kuò)散區(qū)直接連接。因此,在物理結(jié)構(gòu)上必須有一種實(shí)現(xiàn)簡單的漏極之間的連接方法。例如,在物理版圖中至少需要一條連線和兩個(gè)接觸孔。這條連線通常采用金屬線??傻萌鐖D3(a)所示的反相器的局部的符號(hào)電路版圖。同理,可以通過金屬線和接觸孔制作MOS管源端連接到電源VDD和地VSS的簡單連線,如圖3(b)所示。電源線和地線通常采用金屬線,柵極連接可以用簡單的多晶硅條制作。圖3(c)給出了最后的符號(hào)電路版圖。

通過九天版圖設(shè)計(jì)工具繪制的反相器版圖如圖4所示。其他基本單元的版圖可依此建立。

4.3 D觸發(fā)器版圖設(shè)計(jì)

先建立一個(gè)名為DFF的庫,然后把建立的各個(gè)單元版圖保存在DFF庫中,同時(shí)在庫中建立名為dff的新單元。調(diào)用各子單元,并進(jìn)行相應(yīng)D觸發(fā)器的版圖布局,接著就是單元間的連線。主要用到的層是金屬1、金屬2和多晶硅進(jìn)行連接布線。接觸孔是用來連接有源區(qū)和金屬1,通孔用來連接金屬1和金屬2,多晶硅和多晶硅以及相同層金屬之間可以直接連接。版圖設(shè)計(jì)完成后,再利用版圖驗(yàn)證工具ZeniVERI對該版圖進(jìn)行了版圖驗(yàn)證。最后,經(jīng)過驗(yàn)證后D觸發(fā)器的版圖如圖5所示。

5結(jié)語

在分析CMOS 0.6um設(shè)計(jì)規(guī)則和工藝文件后,采用九天EDA系統(tǒng),以D觸發(fā)器為例進(jìn)行了版圖設(shè)計(jì)。實(shí)踐表明,九天EDA系統(tǒng)工具具有很好的界面和處理能力。該版圖已用于相關(guān)芯片的設(shè)計(jì)中,設(shè)計(jì)的D觸發(fā)器完全符合設(shè)計(jì)要求。

作者簡介

楊依忠,講師,主要研究方向是混合集成電路設(shè)計(jì)。

版圖設(shè)計(jì)畢業(yè)論文:企業(yè)版圖設(shè)計(jì)教學(xué)與實(shí)踐

一、企業(yè)對IC版圖設(shè)計(jì)的要求分析

集成電路設(shè)計(jì)公司在招聘版圖設(shè)計(jì)員工時(shí),除了對員工的個(gè)人素質(zhì)和英語的應(yīng)用能力等要求之外,大部分是考查專業(yè)應(yīng)用的能力。一般都會(huì)對新員工做以下要求:熟悉半導(dǎo)體器件物理、CMOS或BiCMOS、BCD集成電路制造工藝;熟悉集成電路(數(shù)字、模擬)設(shè)計(jì),了解電路原理,設(shè)計(jì)關(guān)鍵點(diǎn);熟悉Foundry廠提供的工藝參數(shù)、設(shè)計(jì)規(guī)則;掌握主流版圖設(shè)計(jì)和版圖驗(yàn)證相關(guān)EDA工具;完成手工版圖設(shè)計(jì)和工藝驗(yàn)證[1,2]。另外,公司希望合格的版圖設(shè)計(jì)人員除了懂得IC設(shè)計(jì)、版圖設(shè)計(jì)方面的專業(yè)知識(shí),還要熟悉Foundry廠的工作流程、制程原理等相關(guān)知識(shí)[3]。正因?yàn)槠湫枰莆盏闹R(shí)面廣,而國內(nèi)學(xué)校開設(shè)這方面專業(yè)比較晚,IC版圖設(shè)計(jì)工程師的人才缺口更為巨大,所以擁有一定工作經(jīng)驗(yàn)的設(shè)計(jì)工程師,就成為各設(shè)計(jì)公司和獵頭公司爭相角逐的人才[4,5]。

二、針對企業(yè)要求的版圖設(shè)計(jì)教學(xué)規(guī)劃

1.數(shù)字版圖設(shè)計(jì)。數(shù)字集成電路版圖設(shè)計(jì)是由自動(dòng)布局布線工具結(jié)合版圖驗(yàn)證工具實(shí)現(xiàn)的。自動(dòng)布局布線工具加載準(zhǔn)備好的由verilog程序經(jīng)過DC綜合后的網(wǎng)表文件與Foundry提供的數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫文件和I/O的庫文件,它包括物理庫、時(shí)序庫、時(shí)序約束文件。在數(shù)字版圖設(shè)計(jì)時(shí),一是熟練使用自動(dòng)布局布線工具如Encounter、Astro等,鑒于很少有學(xué)校開設(shè)這門課程,可以推薦學(xué)生自學(xué)或是參加專業(yè)培訓(xùn)。二是數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫的設(shè)計(jì),可以由Foundry廠提供,也可由公司自定制標(biāo)準(zhǔn)單元版圖庫,因此對于初學(xué)者而言設(shè)計(jì)好標(biāo)準(zhǔn)單元版圖使其符合行業(yè)規(guī)范至關(guān)重要。2.模擬版圖設(shè)計(jì)。在模擬集成電路設(shè)計(jì)中,無論是CMOS還是雙極型電路,主要目標(biāo)并不是芯片的尺寸,而是優(yōu)化電路的性能,匹配精度、速度和各種功能方面的問題。作為版圖設(shè)計(jì)者,更關(guān)心的是電路的性能,了解電壓和電流以及它們之間的相互關(guān)系,應(yīng)當(dāng)知道為什么差分對需要匹配,應(yīng)當(dāng)知道有關(guān)信號(hào)流、降低寄生參數(shù)、電流密度、器件方位、布線等需要考慮的問題。模擬版圖是在注重電路性能的基礎(chǔ)上去優(yōu)化尺寸的,面積在某種程度上說仍然是一個(gè)問題,但不再是壓倒一切的問題。在模擬電路版圖設(shè)計(jì)中,性能比尺寸更重要。另外,模擬集成電路版圖設(shè)計(jì)師作為前端電路設(shè)計(jì)師的助手,經(jīng)常需要與前端工程師交流,看是否需要版圖匹配、布線是否合理、導(dǎo)線是否有大電流流過等,這就要求版圖設(shè)計(jì)師不僅懂工藝而且能看懂模擬電路。3.逆向版圖設(shè)計(jì)。集成電路逆向設(shè)計(jì)其實(shí)就是芯片反向設(shè)計(jì)。它是通過對芯片內(nèi)部電路的提取與分析、整理,實(shí)現(xiàn)對芯片技術(shù)原理、設(shè)計(jì)思路、工藝制造、結(jié)構(gòu)機(jī)制等方面的深入洞悉。因此,對工藝了解的要求更高。反向設(shè)計(jì)流程包括電路提取、電路整理、分析仿真驗(yàn)證、電路調(diào)整、版圖提取整理、版圖繪制驗(yàn)證及后仿真等。設(shè)計(jì)公司對反向版圖設(shè)計(jì)的要求較高,版圖設(shè)計(jì)工作還涵蓋了電路提取與整理,這就要求版圖設(shè)計(jì)師不僅要深入了解工藝流程;而且還要熟悉模擬電路和數(shù)字標(biāo)準(zhǔn)單元電路工作原理。

三、教學(xué)實(shí)現(xiàn)

1.數(shù)字版圖。數(shù)字集成電路版圖在教學(xué)時(shí),一是掌握自動(dòng)布局布線工具的使用,還需要對UNIX或LINUX系統(tǒng)熟悉,尤其是一些常用的基本指令;二是數(shù)字邏輯單元版圖的設(shè)計(jì),目前數(shù)字集成電路設(shè)計(jì)大都采用CMOS工藝,因此,必須深入學(xué)習(xí)CMOS工藝流程。在教學(xué)時(shí),可以做個(gè)形象的PPT,空間立體感要強(qiáng),使學(xué)生更容易理解CMOS工藝的層次、空間感。邏輯單元版圖具體教學(xué)方法應(yīng)當(dāng)采用上機(jī)操作并配備投影儀,教師一邊講解電路和繪制版圖,一邊講解軟件的操作、設(shè)計(jì)規(guī)則、畫版圖步驟、注意事項(xiàng),學(xué)生跟著一步一步緊隨教師演示學(xué)習(xí)如何畫版圖,同時(shí)教師可適當(dāng)調(diào)整教學(xué)速度,適時(shí)停下來檢查學(xué)生的學(xué)習(xí)情況,若有錯(cuò)加以糾正。這樣,教師一個(gè)單元版圖講解完畢,學(xué)生亦完成一個(gè)單元版圖。亦步亦趨、步步跟隨,學(xué)生的注意力更容易集中,掌握速度更快。課堂講解完成后,安排學(xué)生實(shí)驗(yàn)以鞏固所學(xué)。邏輯單元版圖教學(xué)內(nèi)容安排應(yīng)當(dāng)采用目前常用的單元,并具有代表性、擴(kuò)展性,使學(xué)生可以舉一反三,擴(kuò)展到整個(gè)單元庫。具體單元內(nèi)容安排如反相器、與非門/或非門、選擇器、異或門/同或門、D觸發(fā)器與SRAM等。在教授時(shí)一定要注意符合行業(yè)規(guī)范,比如單元的高度、寬度的確定要符合自動(dòng)布局布線的要求;單元版圖一定要最小化,如異或門與觸發(fā)器等常使用傳輸門實(shí)現(xiàn),繪制版圖時(shí)注意晶體管源漏區(qū)的合并;大尺寸晶體管的串并聯(lián)安排合理等。2.模擬版圖。模擬集成電路版圖設(shè)計(jì)更注重電路的性能實(shí)現(xiàn),經(jīng)常需要與前端電路設(shè)計(jì)工程師交流。因此,版圖教學(xué)時(shí)教師須要求學(xué)生掌握模擬集成電路的基本原理,學(xué)生能識(shí)CMOS模擬電路,與前端電路工程師交流無障礙。同時(shí)也要求學(xué)生掌握工藝對模擬版圖的影響,熟練運(yùn)用模擬版圖的晶體管匹配、保護(hù)環(huán)、Dummy晶體管等關(guān)鍵技術(shù)。在教學(xué)方法上,依然采用數(shù)字集成電路版圖的教學(xué)過程,實(shí)現(xiàn)教與學(xué)的同步。在內(nèi)容安排上,一是以運(yùn)算放大器為例,深入講解差分對管、電流鏡、電容的匹配機(jī)理,版圖匹配時(shí)結(jié)構(gòu)采用一維還是二維,具體是如何布局的,以及保護(hù)環(huán)與dummy管版圖繪制技術(shù)。二是以帶隙基準(zhǔn)電壓源為例,深入講解N阱CMOS工藝下雙極晶體管PNP與電阻匹配的版圖繪制技術(shù)。在教學(xué)時(shí)需注意晶體管與電阻并聯(lián)拆分的合理性、電阻與電容的類型與計(jì)算方法以及布線的規(guī)范性。3.逆向版圖設(shè)計(jì)。逆向集成電路版圖設(shè)計(jì)需要學(xué)生掌握數(shù)字標(biāo)準(zhǔn)單元的命名規(guī)范、所有標(biāo)準(zhǔn)單元電路結(jié)構(gòu)、常用模擬電路的結(jié)構(gòu)以及芯片的工藝,要求學(xué)生熟悉模擬和數(shù)字集成單元電路。這樣才可以在逆向提取電路與版圖時(shí),做到準(zhǔn)確無誤。教學(xué)方法同樣還是采用數(shù)字集成電路版圖教學(xué)流程,達(dá)到學(xué)以致用。教學(xué)內(nèi)容當(dāng)以一個(gè)既含數(shù)字電路又含模擬電路的芯片為例。為了提取數(shù)字單元電路,需講解foundry提供的標(biāo)準(zhǔn)單元庫里的單元電路與命名規(guī)范。在提取單元電路教學(xué)時(shí),說明數(shù)字電路需要?dú)w并同類圖形,例如與非門、或非門、觸發(fā)器等,同樣的圖形不要分析多次。強(qiáng)調(diào)學(xué)生注意電路的共性、版圖布局與布線的規(guī)律性,做到熟能生巧。模擬電路的提取與版圖繪制教學(xué)要求學(xué)生掌握模擬集成電路常用電路結(jié)構(gòu)與工作原理,因?yàn)槟嫦蛟O(shè)計(jì)軟件提出的元器件符號(hào)應(yīng)該按照易于理解的電路整理,使其他人員也能看出你提取電路的功能,做到準(zhǔn)確通用規(guī)范性。集成電路版圖設(shè)計(jì)教學(xué)應(yīng)面向企業(yè),按照企業(yè)對設(shè)計(jì)工程師的要求來安排教學(xué),做到教學(xué)與實(shí)踐的緊密結(jié)合。從教學(xué)開始就向?qū)W生灌輸IC行業(yè)知識(shí),定位準(zhǔn)確,學(xué)生明確自己應(yīng)該掌握哪些相關(guān)知識(shí)。本文從集成電路數(shù)字版圖、模擬版圖和逆向設(shè)計(jì)版圖這三個(gè)方面就如何開展教學(xué)可以滿足企業(yè)對版圖工程師的要求展開探討,安排教學(xué)有針對性。在教學(xué)方法與內(nèi)容上做了分析探討,力求讓學(xué)生在畢業(yè)后可以順利進(jìn)入IC行業(yè)做出努力。

作者:李亮工作單位:蘇州市職業(yè)大學(xué)電子信息工程學(xué)院

版圖設(shè)計(jì)畢業(yè)論文:基于Virtuoso平臺(tái)的單片射頻收發(fā)系統(tǒng)電路仿真與版圖設(shè)計(jì)

引言

在當(dāng)前通信市場的帶動(dòng)下,通信技術(shù)飛速向前發(fā)展,手持無線通信終端成為其中的熱門應(yīng)用之一。因此,單片集成的射頻收發(fā)系統(tǒng)正受到越來越廣泛的關(guān)注。典型的射頻收發(fā)系統(tǒng)包括低噪聲放大器(LNA)、混頻器(Mixer)、濾波器、可變增益放大器,以及提供本振所需的頻率綜合器等單元模塊,對于工作在射頻環(huán)境的電路系統(tǒng),如2.4G或5G的WLAN應(yīng)用,系統(tǒng)中要包含射頻前端的小信號(hào)噪聲敏感電路、對基帶低頻大信號(hào)有高線性度要求的模塊、發(fā)射端大電流的PA模塊、鎖相環(huán)頻率綜合器中的數(shù)字塊,以及非線性特性的VCO等各具特點(diǎn)的電路。眾多的電路單元及其豐富的特點(diǎn)必然要求在這種系統(tǒng)的設(shè)計(jì)過程中有一個(gè)功能豐富且強(qiáng)大的設(shè)計(jì)平臺(tái)。在綜合比較后,本文選定了cadenceVirtuoso全定制IC設(shè)計(jì)工具。

Virtuoso是Cadence公司推出的用于模擬,數(shù)字混合電路仿真和射頻電路仿真的專業(yè)軟件?;诖似脚_(tái),Cadence公司還開發(fā)了面向射頻設(shè)計(jì)的新技術(shù),包括射頻提取技術(shù)、針對無線芯片設(shè)計(jì)的兩個(gè)新設(shè)計(jì)流程。不僅如此,目前的Virtuoso已經(jīng)整合了來自合作伙伴安捷倫、Coware、Helic和Mathworks等公司的技術(shù),射頻設(shè)計(jì)能力大為增強(qiáng)。使用該項(xiàng)新技術(shù),可以減少設(shè)計(jì)反復(fù),并縮短產(chǎn)品上市時(shí)間。其AMS工具可以實(shí)現(xiàn)自頂向下、數(shù)/?;旌系碾娐吩O(shè)計(jì);Composer工具可以方便地進(jìn)行電路設(shè)計(jì)的輸入和管理;spectre/SpectreRF仿真器精度高,適合不同特點(diǎn)的電路設(shè)計(jì);Layout工具包含了布局、交叉參考、布線、版圖驗(yàn)證、參數(shù)提取等功能;此外,Virtuoso能進(jìn)行可靠的后仿真和成品率控制。

基于Virtuoso的行為仿真和系統(tǒng)規(guī)劃

射頻收發(fā)系統(tǒng)的設(shè)計(jì)最終能否成功,以及模塊指標(biāo)分配是否合理可行,都有賴于具體電路設(shè)計(jì)之前對系統(tǒng)的行為建模和計(jì)算,即所謂的行為仿真。這也是自頂向下設(shè)計(jì)模式的關(guān)鍵一步。Cadence內(nèi)置的Verilog-A和VHDL仿真器,以及混合輸入模式的仿真方法提供了這種可能性。而且,Cadence軟件免費(fèi)提供了大量的行為模型供選擇使用,對于射頻系統(tǒng)設(shè)計(jì),所要做的就是調(diào)用并設(shè)定各個(gè)模塊預(yù)期的指標(biāo)要求,通過仿真很快就能得到系統(tǒng)的行為特征。根據(jù)要求可以方便地修改各個(gè)模塊的指標(biāo)重新仿真,直到系統(tǒng)的行為滿足要求為止。以接收機(jī)為例,接收系統(tǒng)。每個(gè)模塊的指標(biāo)設(shè)定非常具體,如輸入輸出阻抗、增益、隔離度、噪聲系數(shù)NF、線性度IP3、直流偏移IP2等。仿真完成后,每個(gè)模塊的指標(biāo)分配任務(wù)也同時(shí)完成。

每個(gè)模塊用具體電路實(shí)現(xiàn)后可以逐一取代相應(yīng)的設(shè)計(jì)模塊,進(jìn)行系統(tǒng)仿真,可以看出每個(gè)模塊是否滿足系統(tǒng)的需要,進(jìn)而評(píng)估每個(gè)實(shí)際模塊對系統(tǒng)性能的影響。

基于Virtuoso Spectre/SpectreRF的電路模塊仿真設(shè)計(jì)

基于上述的行為仿真結(jié)果和指標(biāo)分配結(jié)果,可以劃分系統(tǒng)模塊設(shè)計(jì)任務(wù),對每個(gè)單元塊分別進(jìn)行設(shè)計(jì)仿真。

LNA

LNA是射頻接收機(jī)最前端的一個(gè)有源部件,它決定了系統(tǒng)的噪聲性能。對它的要求主要是具有盡量低的NF和足夠的功率增益、好的輸入匹配,其次是高線性度和隔離度。其電路如圖3所示。利用Spectre的SP分析或SpectreRF的PSS+Pnoise分析都可以進(jìn)行NF分析。還可以利用NFmin的結(jié)果來挑選晶體管的尺寸,以使最優(yōu)源阻抗?jié)M足最小的噪聲要求。

Mixer

混頻器是收發(fā)機(jī)的核心,由于完成的是變頻工作,其主要仿真方法需采用SpectreRF仿真器?;祛l器的益、NF等與輸入輸出有關(guān),但輸入和輸出工作在不同的頻段上,往往要在PSS分析的基礎(chǔ)上進(jìn)行其它分析才能得到正確結(jié)果,如PSP、Pnoise、PAC等?;祛l器的結(jié)構(gòu)是典型雙平衡吉爾伯特。

VGA

基帶VGA由于頻率低、增益大,因此對噪聲要求不高,主要是對線性度、增益等指標(biāo)有較高的要求,SpectreRF的PSS掃描可以方便地對模塊的輸入進(jìn)行掃描并自動(dòng)對掃描曲線作延長,直接標(biāo)示出線性度PldB和IIP3的交點(diǎn)位置及數(shù)值大小,非常方便直觀。這種方法與傳統(tǒng)的two tone測試相比更加靈活高效。VGA在不同增益狀態(tài)下的IIP3指標(biāo)的仿真只需把控制寫成變量,在ADE環(huán)境中進(jìn)行掃描變量的值即可完成。所得的結(jié)果可以方便地進(jìn)行比較分析。通過調(diào)整可以獲得理想的VGA電路。甚至可以把ADE下的各種設(shè)置保存成ocean的腳本文件,利用腳本的自動(dòng)運(yùn)行,只要事先安排好各種仿真任務(wù),Cadence就能自動(dòng)完成各項(xiàng)仿真并保存數(shù)據(jù)結(jié)果。對數(shù)據(jù)進(jìn)行比較分析后能獲悉電路的性能,以此為指導(dǎo)逐步改進(jìn),便可獲得一個(gè)滿足系統(tǒng)需要的電路模塊。

PLL模塊

PLL各模塊的仿真是一個(gè)比較有挑戰(zhàn)性的任務(wù),PLL本身是一個(gè)數(shù)字/模擬混合的模塊,但是一般都用模擬的方式設(shè)計(jì)各個(gè)模塊。PLL的仿真包含了上百項(xiàng)指標(biāo)的測試工作,這些仿真要用到幾乎所有Spectre和SpectreRF的仿真工具。以其中VCO和CP的仿真為例,VCO非線性的工作特點(diǎn)決定了它的噪聲計(jì)算不能以小信號(hào)的方式進(jìn)行,采用PSS+Pnoise的方式則可以準(zhǔn)確地仿真VCO的相位噪聲性能。通過掃描可以得到VCO的頻率調(diào)諧增益Kvcvcd。

電荷泵輸出電流特性是衡量CP性能的常用曲線,CP決定了PLL環(huán)路的增益和帶內(nèi)噪聲性能。通過掃描也可以容易地得到CP在不同狀態(tài)下電流源的恒流和匹配特性。

以上所述是射頻接收機(jī)幾個(gè)典型單元模塊的電路設(shè)計(jì)仿真過程。系統(tǒng)各個(gè)單元塊的仿真是可以同時(shí)展開的,完成的模塊可以隨時(shí)代人行為系統(tǒng)來驗(yàn)證設(shè)計(jì)結(jié)果。經(jīng)過若干次反復(fù)修改與驗(yàn)證,最終可以得到符合要求的接收系統(tǒng)。

溫度分析

要保證最終系統(tǒng)設(shè)計(jì)的可靠性和成品率,很關(guān)鍵的一步是在各個(gè)單元塊的設(shè)計(jì)中進(jìn)行溫度、極端情況等分析。這些功能可以在CadenceVirtuoso中通過設(shè)置不同的仿真溫度、通過仿真模型的Corner設(shè)置,以及直接使用其提供的MonteCarlo仿真工具來進(jìn)行。

射頻收發(fā)系統(tǒng)的整體電路仿真

各個(gè)模塊電路分別設(shè)計(jì)驗(yàn)證完成以后,就可以把所有模塊連成系統(tǒng),并加上PAD、ESD等構(gòu)成一個(gè)完整的芯片系統(tǒng),對這個(gè)系統(tǒng)加上激勵(lì)進(jìn)行仿真測試,可以對整個(gè)系統(tǒng)電路進(jìn)行仿真。如果仿真計(jì)算所用的硬件資 源足夠大,可以直接對系統(tǒng)進(jìn)行tran、SP、PSS,以及PSP、Pnoise、PAC等分析,獲得整個(gè)芯片的性能。如果資源不足,則可以考慮對系統(tǒng)按功能進(jìn)行分組、分塊仿真。由于分出的塊之間相對獨(dú)立,因此整體系統(tǒng)的特性與分塊仿真差別不大。

版圖設(shè)計(jì)與后仿真

在各模塊的設(shè)計(jì)指標(biāo)滿足自身及系統(tǒng)要求的基礎(chǔ)上可以開始各個(gè)模塊的版圖設(shè)計(jì),首先利用Layout-XL的元件調(diào)入功能可以直接由原理圖調(diào)入版圖元件,進(jìn)行各個(gè)模塊的粗略布局,主要是安排與其它模塊的連接端口以及一些重要元件的預(yù)布局。然后從系統(tǒng)上將所有模塊的預(yù)布局調(diào)入進(jìn)行整體布局考慮。利用Virtuoso Layout工具所具有的層次化管理和操作的特性,可以對每個(gè)模塊的安放及其與其它模塊的銜接進(jìn)行系統(tǒng)考慮。

系統(tǒng)布局以后,將邊界條件分配給每個(gè)模塊。在模塊單獨(dú)的布局過程中要遵守其邊界約定。版圖進(jìn)行到一定階段后,即可以調(diào)入到系統(tǒng)版圖中來檢查,隨時(shí)作必要的調(diào)整以滿足每個(gè)模塊的具體情況。

具體版圖繪制過程中可以充分利用Virtuoso版圖工具的強(qiáng)大功能,比如充分發(fā)揮快捷鍵功能可以使版圖設(shè)計(jì)流暢高效;利用Layout-XL的交叉參考可以隨時(shí)發(fā)現(xiàn)錯(cuò)誤的連線或因疏忽造成的短路;利用DRD的實(shí)時(shí)規(guī)則檢查可以避免絕大多數(shù)違反設(shè)計(jì)規(guī)則的布圖。

版圖的規(guī)則檢查可以采用Virtuoso的Diva工具,DRC、LVS、Exlract等工作都可以在其友好的界面下完成。對于射頻電路版圖元件數(shù)規(guī)模不大的特點(diǎn),利用Diva完成絕大部分工作是很合適的。如果想進(jìn)一步提高版圖提取和后仿真的精確度,可以考慮采用Assure工具來進(jìn)行。

結(jié)語

本文詳細(xì)討論了基于CadenceVirtuoso設(shè)計(jì)平臺(tái)的單片射頻收發(fā)集成電路的設(shè)計(jì)過程。討論了利用Virtuoso工具完成的自頂向下、從系統(tǒng)到模塊、從前端都后端的整個(gè)設(shè)計(jì)步驟,直到實(shí)現(xiàn)一個(gè)完整的射頻芯片。可以看出,Virtuoso平臺(tái)工具在IC設(shè)計(jì)的各個(gè)階段所發(fā)揮的重要作用。

文中所述的單片射頻芯片設(shè)計(jì)中所采用的Virtuoso工具只是Virtuoso家族中最常用的幾個(gè)工具,依靠他們的強(qiáng)大功能足以完成復(fù)雜的射頻系統(tǒng)設(shè)計(jì),是性價(jià)比較高的一種解決方案。如果再結(jié)合Virtuoso的AMs、UltraSim、VoltageStorm、ElectronStorm等工具,將會(huì)使設(shè)計(jì)效率更高,設(shè)計(jì)更精確。

版圖設(shè)計(jì)畢業(yè)論文:數(shù)?;旌霞呻娐钒鎴D設(shè)計(jì)的漲縮技術(shù)

摘 要:文章介紹了在數(shù)?;旌习鎴D設(shè)計(jì)中,如何把版圖不同模塊的漲縮需求,用一種完善的自動(dòng)化程序技術(shù)方案來實(shí)現(xiàn),并且可以批處理所有需要漲縮的版圖數(shù)據(jù)。

關(guān)鍵詞:數(shù)字;模擬;集成電路;版圖設(shè)計(jì);人工處理;程序化處理

隨著集成電路技術(shù)的進(jìn)步,晶體管尺寸越來越小。對于很多經(jīng)過晶圓片驗(yàn)證的產(chǎn)品,需要通過版圖等比例縮小,直接用于更小的工藝平臺(tái),不用重新設(shè)計(jì)版圖,就可以流片,從而獲得高集成度的效果,極大地提高了效率,節(jié)省了成本。而一個(gè)數(shù)?;旌袭a(chǎn)品中包含數(shù)字部分和模擬部分,對于數(shù)字 IP,尤其是標(biāo)準(zhǔn)單元, 用于更小工藝平臺(tái)的時(shí)候,可以直接采用版圖等比例縮小的方式;而對于一些模擬IP來說,應(yīng)用于更小工藝平臺(tái)的時(shí)候,為了保持性能的最優(yōu)化,需要保持原驗(yàn)證的同等條件;而對于工藝的臨界尺寸(Critical Dimension, CD)來說,希望整個(gè)產(chǎn)品的接觸孔的寬度是一致的。對于這樣一個(gè)產(chǎn)品多種漲縮,部分還需要層次之間布爾操作的需求,本文提供一種完善的自動(dòng)化流程方案來解決這種版圖特殊漲縮的方法,可以程序化地批處理所有需要漲縮的版圖數(shù)據(jù)。

1 客戶項(xiàng)目漲縮需求概述

華潤上華0.18 μm工藝線有3個(gè)差異不大的平臺(tái)―0.18 μm, 0.162 μm ,0.153 μm??蛻舻漠a(chǎn)品很多已經(jīng)在0.18 μm工藝平臺(tái)驗(yàn)證過,為了增加單片晶圓片上的管芯的數(shù)量,提高利潤空間,客戶會(huì)直接把0.18 μm工藝平臺(tái)驗(yàn)證過的產(chǎn)品等比例縮小到0.162 μm或者0.153 μm的兩個(gè)工藝平臺(tái)進(jìn)行重新流片。而數(shù)字IP可以直接等比例縮小,但是模擬IP希望能直接用0.18 μm工藝平臺(tái)設(shè)計(jì)方案,這兩種IP類型共存于一個(gè)數(shù)?;旌袭a(chǎn)品中,需要分別對這兩種IP進(jìn)行不同的操作,而且由于工藝要求需要,某些版圖層次需要進(jìn)行其他特殊的處理。

圖1是數(shù)模混合的簡化示意圖,包含了數(shù)字IP和模擬IP??蛻粜枨笥袃蓚€(gè)要求:(1)模擬IP尺寸保持不變,數(shù)字IP尺寸縮小到原始的0.9倍;(2)整個(gè)產(chǎn)品的接觸孔的寬度保持原始的0.22 μm。

2 人工漲縮技術(shù)操作方式

傳統(tǒng)的操作技術(shù)中,大部分需要靠人工干涉和人工畫圖來實(shí)現(xiàn),效率很低,下面簡述一下傳統(tǒng)人工操作技術(shù)方案:

(1)在圖1的版圖EDA工具窗口菜單中,調(diào)用圖2版圖屬性對話框,通過修改其參數(shù)選項(xiàng)Magnification等于0.9,把數(shù)字IP縮小到原始的0.9倍。

(2)這種修改的方式會(huì)導(dǎo)致版圖層次之間出現(xiàn)0.001 μm的gap(空隙),如圖3所示,金屬層出現(xiàn)的gap圖形;這種0.001 μm的gap會(huì)出現(xiàn)在很多不同分層結(jié)構(gòu)的連接層次之間。

(3)人工修補(bǔ)版圖,首先要把所有出現(xiàn)gap的圖形一一填充好,然后把模擬IP和數(shù)字IP之間的連接金屬線的位置分別調(diào)整好。

(4)因?yàn)樵冀佑|孔寬度等于0.22 μm,如圖4所示,而縮小到0.9倍以后數(shù)字 IP部分的接觸孔寬度等于0.198 μm;為了保持全芯片的接觸孔寬度一致,必須人工的把數(shù)字 IP內(nèi)部的接觸孔寬度修改為0.22 μm。

每一個(gè)數(shù)模產(chǎn)品都是非常巨大的,包含的contact的數(shù)量是數(shù)以萬計(jì)的,模擬IP和數(shù)字IP連線也都是非常復(fù)雜的,而且要從底層單元開始修改,單靠這種傳統(tǒng)的人工修改,工作量是超負(fù)荷的,從而使客戶產(chǎn)品直接shrink的效率就大大降低,影響到客戶產(chǎn)品的上市時(shí)間。

3 程序化處理漲縮技術(shù)

3.1 程序化漲縮技術(shù)原理

針對傳統(tǒng)方案的缺點(diǎn),結(jié)合我們客戶需求,同時(shí)更多的是依賴個(gè)人技術(shù)經(jīng)驗(yàn),發(fā)明了一種自動(dòng)化批處理,人工干預(yù)少的技術(shù)方案,從而大大提高了客戶產(chǎn)品漲縮的效率。核心技術(shù)方案是采用EDA工具calibre drc語言,編寫漲縮程序,再運(yùn)行程序,從而達(dá)到客戶需求。圖5是客戶數(shù)模產(chǎn)品的漲縮批處理流程。

基本原理:整個(gè)程序分為漲大(enlarge)和整體縮小(shrink )兩個(gè)過程。IP漲大以后,會(huì)把相關(guān)的接觸孔的寬度恢復(fù)到0.22 μm,然后把漲大后的IP重新整合在原始的版圖中,最后把整合好的數(shù)據(jù)進(jìn)行整體shrink,從而達(dá)到版圖等比例縮小的目的。

3.2 批處理程序的結(jié)構(gòu)

根據(jù)圖5的客戶需求原理,我們用calibre語言需要編寫了兩個(gè)程序,一個(gè)是enlarge程序,一個(gè)是shrink程序,兩者程序架構(gòu)大體相同。程序架構(gòu)包含以下幾個(gè)方面。

(1)Specification Statement(規(guī)范說明):定義版圖數(shù)據(jù)基本信息和需要的功能選項(xiàng)。

(2)Input Layers Statement(輸入層次說明):把版圖數(shù)據(jù)的所有輸入層次信息定義出來。

(3)Layer Operations(版圖層次運(yùn)算):根據(jù)項(xiàng)目要求,進(jìn)行所有層次之間的布爾運(yùn)算。

(4)Output New Layer(輸出新的版圖層次):把最終完成各種處理的版圖數(shù)據(jù)輸出。

通過上面3個(gè)語句,就可以把版圖Metal1層次的gap修補(bǔ),以此類推,所有需要修改gap的版圖層次都可以按照此語法命令結(jié)構(gòu)來完成。

整體shrink的程序和enlarge的程序結(jié)構(gòu)相同,在shrink程序中可以把客戶所有層次之間的布爾運(yùn)算需求,通過命令語句執(zhí)行,從而完成客戶數(shù)據(jù)光罩層次的輸出。其中的shrink選項(xiàng),只需要在程序的規(guī)范說明里面來定義即可,命令行如下:DRC MAGNIFY RESULTS 0.9,即可完成shrink 90%的功能任務(wù),如果定義DRC MAGNIFY RESULTS 0.85,即可完成shrink 85%的功能需求。

3.4 q縮程序的執(zhí)行

編寫完程序以后,把版圖數(shù)據(jù)等比例縮小的任務(wù)就可以按照步驟執(zhí)行,首先運(yùn)行enlarge(漲大)程序,然后運(yùn)行shrink(縮?。┏绦?。步驟如下:

(1)在enlarge程序里面定義要漲大的版圖數(shù)據(jù)的gds;運(yùn)行enlarge程序:caliberCdrc Chier enlarge程序。

(2)把前兩步運(yùn)行出來的版圖數(shù)據(jù),放入原始的版圖gds中,修補(bǔ)接口連線;在shrink程序里面把第(3)輸出的版圖數(shù)據(jù)定義進(jìn)入;運(yùn)行shrink程序:calibre Cdrc Chier shrink程序。

(3)通過這幾個(gè)步驟,我們就可以把版圖等比例縮小,同時(shí)還維持了模擬IP的原始狀態(tài)。

(4)程序運(yùn)行出來的版圖,我們就可以直接拿到工藝廠流片。

4 結(jié)語

本文詳細(xì)講述了如何利用常用的EDA calibre工具語言,批處理集成電路版圖等比例縮小的方法?,F(xiàn)在集成電路行業(yè)發(fā)展迅速,工藝水平不斷提高,而器件特征尺寸也隨著摩爾定律不斷縮小,如果一些數(shù)?;旌袭a(chǎn)品經(jīng)過縮小,可以在新的工藝平臺(tái)流片,有些經(jīng)過驗(yàn)證的IP希望在原始的工藝尺寸下復(fù)用,這些客戶需求,都可以通過本文所闡述的技術(shù)原理,高效率地實(shí)現(xiàn),極大地節(jié)省了設(shè)計(jì)成本。漲縮程序可以推廣于任何后端版圖設(shè)計(jì)中,簡單編寫一個(gè)小的程序,就可以代替人工繁重的全定制版圖設(shè)計(jì),從而大大提高設(shè)計(jì)效率。

版圖設(shè)計(jì)畢業(yè)論文:“集成電路版圖設(shè)計(jì)”教學(xué)探索與實(shí)踐

摘要:集成電路版圖設(shè)計(jì)起著承接電路設(shè)計(jì)和芯片實(shí)現(xiàn)的重要作用,是集成電路設(shè)計(jì)流程中必不可少的環(huán)節(jié),同時(shí)也是應(yīng)用型集成電路人才的培養(yǎng)方向。對集成電路版圖設(shè)計(jì)理論教學(xué)和實(shí)驗(yàn)教學(xué)過程的實(shí)施進(jìn)行了探索和實(shí)踐。以CMOS與非門為例,介紹了基于主流EDA工具的完整集成電路版圖設(shè)計(jì)流程的教學(xué)實(shí)例。

關(guān)鍵詞:集成電路設(shè)計(jì);版圖;EDA

集成電路是當(dāng)今信息技術(shù)產(chǎn)業(yè)高速發(fā)展的基礎(chǔ)和源動(dòng)力,已經(jīng)高度滲透與融合到國民經(jīng)濟(jì)和社會(huì)發(fā)展的每個(gè)領(lǐng)域,其技術(shù)水平和發(fā)展規(guī)模已成為衡量一個(gè)國家產(chǎn)業(yè)競爭力和綜合國力的重要標(biāo)志之一[1],美國更將其視為未來20年從根本上改造制造業(yè)的四大技術(shù)領(lǐng)域之首。我國擁有全球最大、增長最快的集成電路市場,2013年規(guī)模達(dá)9166億元,占全球市場份額的50%左右。近年來,國家大力發(fā)展集成電路,在上海浦東等地建立了集成電路產(chǎn)業(yè)基地,對于集成電路設(shè)計(jì)、制造、封裝、測試等方面的專門技術(shù)人才需求巨大。為了適應(yīng)產(chǎn)業(yè)需求,推進(jìn)我國集成電路發(fā)展,許多高校開設(shè)了電子科學(xué)與技術(shù)專業(yè),以培養(yǎng)集成電路方向的專業(yè)人才。集成電路版圖設(shè)計(jì)是電路設(shè)計(jì)與集成電路工藝之間必不可少的環(huán)節(jié)。據(jù)相關(guān)統(tǒng)計(jì),在從事集成電路設(shè)計(jì)工作的電子科學(xué)與技術(shù)專業(yè)的應(yīng)屆畢業(yè)生中,由于具有更多的電路知識(shí)儲(chǔ)備,研究生的從業(yè)比例比本科生高出很多。而以集成電路版圖為代表包括集成電路測試以及工藝等與集成電路設(shè)計(jì)相關(guān)的工作,相對而言對電路設(shè)計(jì)知識(shí)的要求低很多。因而集成電路版圖設(shè)計(jì)崗位對本科生而言更具競爭力。在版圖設(shè)計(jì)崗位工作若干年知識(shí)和經(jīng)驗(yàn)的積累也將有利于從事集成電路設(shè)計(jì)工作。因此,版圖設(shè)計(jì)工程師的培養(yǎng)也成為了上海電力學(xué)院電子科學(xué)與技術(shù)專業(yè)本科人才培養(yǎng)的重要方向和辦學(xué)特色。本文根據(jù)上海電力學(xué)院電子科學(xué)與技術(shù)專業(yè)建設(shè)的目標(biāo),結(jié)合本校人才培養(yǎng)和專業(yè)建設(shè)目標(biāo),就集成電路版圖設(shè)計(jì)理論和實(shí)驗(yàn)教學(xué)環(huán)節(jié)進(jìn)行了探索和實(shí)踐。

一、優(yōu)化理論教學(xué)方法,豐富教學(xué)手段,突出課程特點(diǎn)

集成電路版圖作為一門電子科學(xué)與技術(shù)專業(yè)重要的專業(yè)課程,教學(xué)內(nèi)容與電子技術(shù)(模擬電路和數(shù)字電路)、半導(dǎo)體器件、集成電路設(shè)計(jì)基礎(chǔ)等先修課程中的電路理論、器件基礎(chǔ)和工藝原理等理論知識(shí)緊密聯(lián)系,同時(shí)版圖設(shè)計(jì)具有很強(qiáng)的實(shí)踐特點(diǎn)。因此,必須從本專業(yè)學(xué)生的實(shí)際特點(diǎn)和整個(gè)專業(yè)課程布局出發(fā),注重課程與其他課程承前啟后,有機(jī)融合,摸索出一套實(shí)用有效的教學(xué)方法。在理論授課過程中從集成電路的設(shè)計(jì)流程入手,在CMOS集成電路和雙極集成電路基本工藝進(jìn)行概述的基礎(chǔ)上,從版圖基本單元到電路再到芯片循序漸進(jìn)地講授集成電路版圖結(jié)構(gòu)、設(shè)計(jì)原理和方法,做到與上游知識(shí)點(diǎn)的融會(huì)貫通。

集成電路的規(guī)模已發(fā)展到片上系統(tǒng)(SOC)階段,教科書的更新速度遠(yuǎn)遠(yuǎn)落后于集成電路技術(shù)的發(fā)展速度。集成電路工藝線寬達(dá)到了納米量級(jí),對于集成電路版圖設(shè)計(jì)在當(dāng)前工藝條件下出現(xiàn)的新問題和新規(guī)則,通過查閱最新的文獻(xiàn)資料,向?qū)W生介紹版圖設(shè)計(jì)前沿技術(shù)與發(fā)展趨勢,開拓學(xué)生視野,提升學(xué)習(xí)熱情。在課堂教學(xué)中盡量減少冗長的公式和繁復(fù)的理論推導(dǎo),將理論講解和工程實(shí)踐相結(jié)合,通過工程案例使學(xué)生了解版圖設(shè)計(jì)是科學(xué)、技術(shù)和經(jīng)驗(yàn)的有機(jī)結(jié)合。比如,在有關(guān)天線效應(yīng)的教學(xué)過程中針對一款采用中芯國際(SMIC)0.18um 1p6m工藝的雷達(dá)信號(hào)處理SOC 芯片,結(jié)合跳線法和反偏二極管的天線效應(yīng)消除方法,詳細(xì)闡述版圖設(shè)計(jì)中完全修正天線規(guī)則違例的關(guān)鍵步驟,極大地激發(fā)了學(xué)生的學(xué)習(xí)興趣,收到了較好的教學(xué)效果。

集成電路版圖起著承接電路設(shè)計(jì)和芯片實(shí)現(xiàn)的重要作用。通過版圖設(shè)計(jì),可以將立體的電路轉(zhuǎn)化為二維的平面幾何圖形,再通過工藝加工轉(zhuǎn)化為基于半導(dǎo)體硅材料的立體結(jié)構(gòu)[2]。集成電路版圖設(shè)計(jì)是集成電路流程中的重要環(huán)節(jié),與集成電路工藝密切相關(guān)。為了讓學(xué)生獲得直觀、準(zhǔn)確和清楚的認(rèn)識(shí),制作了形象生動(dòng)、圖文并茂的多媒體教學(xué)課件,將集成電路典型的設(shè)計(jì)流程、雙極和CMOS集成電路工藝流程、芯片內(nèi)部結(jié)構(gòu)、版圖的層次等內(nèi)容以圖片、Flash動(dòng)畫、視頻等形式進(jìn)行展示。

版圖包含了集成電路尺寸、各層拓?fù)涠x等器件相關(guān)的物理信息數(shù)據(jù)[3]。掩膜上的圖形決定著芯片上器件或連接物理層的尺寸。因此版圖上的幾何圖形尺寸與芯片上物理層的尺寸直接相關(guān)。而集成電路制造廠家根據(jù)版圖數(shù)據(jù)來制造掩膜,對于同種工藝各個(gè)foundry廠商所提供的版圖設(shè)計(jì)規(guī)則各不相同[4]。教學(xué)實(shí)踐中注意將先進(jìn)的典型芯片版圖設(shè)計(jì)實(shí)例引入課堂,例如舉出臺(tái)灣積體電路制造公司(TSMC)的45nm CMOS工藝的數(shù)模轉(zhuǎn)換器的芯片版圖實(shí)例,讓學(xué)生從當(dāng)今業(yè)界實(shí)際制造芯片的角度學(xué)習(xí)和掌握版圖設(shè)計(jì)的規(guī)則,同時(shí)切實(shí)感受到模擬版圖和數(shù)字版圖設(shè)計(jì)的藝術(shù)。

二、利用業(yè)界主流EDA工具,構(gòu)建基于完整版圖設(shè)計(jì)流程的實(shí)驗(yàn)體系

集成電路版圖設(shè)計(jì)實(shí)驗(yàn)采用了Cadence公司的EDA工具進(jìn)行版圖設(shè)計(jì)。Cadence的EDA產(chǎn)品涵蓋了電子設(shè)計(jì)的整個(gè)流程,包括系統(tǒng)級(jí)設(shè)計(jì)、功能驗(yàn)證、集成電路(IC)綜合及布局布線、物理驗(yàn)證、PCB設(shè)計(jì)和硬件仿真建模模擬、混合信號(hào)及射頻IC設(shè)計(jì)、全定制IC設(shè)計(jì)等。全球知名半導(dǎo)體與電子系統(tǒng)公司如AMD、NEC、三星、飛利浦均將Cadence軟件作為其全球設(shè)計(jì)的標(biāo)準(zhǔn)。將業(yè)界主流的EDA設(shè)計(jì)軟件引入實(shí)驗(yàn)教學(xué)環(huán)節(jié),有利于學(xué)生畢業(yè)后很快適應(yīng)崗位,盡快進(jìn)入角色。

專業(yè)實(shí)驗(yàn)室配備了多臺(tái)高性能Sun服務(wù)器、工作站以及60臺(tái)供學(xué)生實(shí)驗(yàn)用的PC機(jī)。服務(wù)器中安裝的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、電路圖設(shè)計(jì)工具Composer、電路模擬工具Analog Artist、版圖設(shè)計(jì)工具Virtuoso Layout Editing、版圖驗(yàn)證工具Dracula 和Diva、自動(dòng)布局布線工具Preview和Silicon Ensemble。

Cadence軟件是按照庫(Library)、單元(Cell)、和視圖(View)的層次實(shí)現(xiàn)對文件的管理。庫、單元和視圖三者之間的關(guān)系為庫文件是一組單元的集合,包含著各個(gè)單元的不同視圖。庫文件包括技術(shù)庫和設(shè)計(jì)庫兩種,設(shè)計(jì)庫是針對用戶設(shè)立,不同的用戶可以有不同的設(shè)計(jì)庫。而技術(shù)庫是針對工藝設(shè)立,不同特征尺寸的工藝、不同的芯片制造商的技術(shù)庫不同。為了讓學(xué)生在掌握主流EDA工具使用的同時(shí)對版圖設(shè)計(jì)流程有準(zhǔn)確、深入的理解,安排針對無錫上華公司0.6um兩層多晶硅兩層金屬(Double Poly Double Metal)混合信號(hào)CMOS工藝的一系列實(shí)驗(yàn)讓學(xué)生掌握包括從電路圖的建立、版圖建立與編輯、電學(xué)規(guī)則檢查(ERC),設(shè)計(jì)規(guī)則檢查(DRC)、到電路圖-版圖一致性檢查(LVS)的完整的版圖設(shè)計(jì)流程[5]。通過完整的基于設(shè)計(jì)流程的版圖實(shí)驗(yàn)使學(xué)生能較好地掌握電路設(shè)計(jì)工具Composer、版圖設(shè)計(jì)工具Virtuoso Layout Editor以及版圖驗(yàn)證工具Dracula和Diva的使用,同時(shí)對版圖設(shè)計(jì)的關(guān)鍵步驟形成清晰的認(rèn)識(shí)。

以下以CMOS與非門為例,介紹基于一個(gè)完整的數(shù)字版圖設(shè)計(jì)流程的教學(xué)實(shí)例。

在CMOS與非門的版圖設(shè)計(jì)中,首先要求學(xué)生建立設(shè)計(jì)庫和技術(shù)庫,在技術(shù)庫中加載CSMC 0.6um的工藝的技術(shù)文件,將設(shè)計(jì)庫與技術(shù)庫進(jìn)行關(guān)聯(lián)。然后在設(shè)計(jì)庫中用Composer中建立相應(yīng)的電路原理圖(schematic),進(jìn)行ERC檢查。再根據(jù)電路原理圖用Virtuoso Layout Editor工具繪制對應(yīng)的版圖(layout)。版圖繪制步驟依次為MOS晶體管的有源區(qū)、多晶硅柵極、MOS管源區(qū)和漏區(qū)的接觸孔、P+注入、N阱、N阱接觸、N+注入、襯底接觸、金屬連線、電源線、地線、輸入及輸出?;镜陌鎴D繪制完成之后,將輸入、輸出端口以及電源線和地線的名稱標(biāo)注于版圖的適當(dāng)位置處,再在Dracula工具中利用幾何設(shè)計(jì)規(guī)則文件進(jìn)行DRC驗(yàn)證。然后利用GDS版圖數(shù)據(jù)與電路圖網(wǎng)表進(jìn)行版圖與原理圖一致性檢查(LVS),修改其中的錯(cuò)誤并按最小面積優(yōu)化版圖,最后版圖全部通過檢查,設(shè)計(jì)完成。圖1和圖2分別給出了CMOS與非門的原理圖和版圖。

三、結(jié)束語

集成電路版圖設(shè)計(jì)教學(xué)是電子科學(xué)與技術(shù)專業(yè)和相關(guān)電類專業(yè)培養(yǎng)應(yīng)用型集成電路人才的重要環(huán)節(jié),使學(xué)生鞏固了集成電路電路原理、工藝和器件等理論知識(shí),掌握了集成電路版圖設(shè)計(jì)流程、方法和主流的EDA版圖工具的使用,提高了學(xué)生的工程實(shí)踐能力,同時(shí)培養(yǎng)了學(xué)生分析問題、解決問題的能力。隨著集成電路飛速發(fā)展到納米工藝,版圖相關(guān)的新技術(shù)和設(shè)計(jì)規(guī)則不斷涌現(xiàn)。因此,在今后的教學(xué)改革工作中,與時(shí)俱進(jìn),圍繞先進(jìn)的實(shí)際設(shè)計(jì)案例將課堂教學(xué)和設(shè)計(jì)應(yīng)用緊密結(jié)合,構(gòu)建集成電路版圖設(shè)計(jì)的教學(xué)和實(shí)踐體系,具有重要的意義。

版圖設(shè)計(jì)畢業(yè)論文:汽車電打火控制芯片的輸入模塊的版圖設(shè)計(jì)與驗(yàn)證

摘 要 本文介紹了一款應(yīng)用于汽車工程領(lǐng)域的電打火控制芯片的輸入模塊的版圖設(shè)計(jì)與驗(yàn)證。采用標(biāo)準(zhǔn)雙極工藝,全定制的設(shè)計(jì)對輸入模塊進(jìn)行布局布線,并完成了后端驗(yàn)證。本芯片功耗低、成本低,性能穩(wěn)定。

【關(guān)鍵詞】電打火控制芯片 版圖設(shè)計(jì)

1 引言

汽車電打火控制芯片是為使用霍爾效應(yīng)管的無觸點(diǎn)點(diǎn)火系統(tǒng)所設(shè)計(jì)的一款電打火控制芯片。芯片通過驅(qū)動(dòng)外接的NPN達(dá)林頓管來控制點(diǎn)火線圈,使其獲得足夠的點(diǎn)火能量,只伴隨很少的能量損失。本次設(shè)計(jì)的汽車電打火芯片共包含10個(gè)模塊:基準(zhǔn)電壓模塊、霍爾效應(yīng)管輸入模塊、過壓保護(hù)模塊、占空比控制模塊、限流保護(hù)電流模塊、控制轉(zhuǎn)換模塊、緩慢恢復(fù)模塊、不飽和感應(yīng)模塊、衡通保護(hù)模塊、驅(qū)動(dòng)電路模塊和RPM模塊。本文主要討論了在系統(tǒng)設(shè)計(jì)和電路設(shè)計(jì)完成后,對輸入模塊:基準(zhǔn)電壓模塊和霍爾效應(yīng)管輸入模塊電路進(jìn)行詳細(xì)分析,并進(jìn)行仿真,然后完成后端設(shè)計(jì)以及相關(guān)的驗(yàn)證工作。

本文電路中所采用的器件全部是雙極型器件,采用5微米標(biāo)準(zhǔn)雙極工藝進(jìn)行版圖設(shè)計(jì)。由于芯片整體電路圖比較大,所以將電路圖分成若干個(gè)模塊分別設(shè)計(jì),設(shè)計(jì)前先要將每個(gè)模塊中的器件的大致位置規(guī)劃好,在后面的整體版圖整理中,再根據(jù)工藝線給出的封裝結(jié)構(gòu)調(diào)整各模塊中器件和焊盤的位置。

2 電路分析、仿真結(jié)果以及版圖設(shè)計(jì)

2.1 基準(zhǔn)電壓模塊

電路如圖1所示,當(dāng)V3開始給整個(gè)電路供電時(shí),隨著V3的升高,Q58先導(dǎo)通,從而使Q60導(dǎo)通,Q57、Q58構(gòu)成達(dá)林頓管。Q60的導(dǎo)通,使得鏡像電流源Q59-1和Q59-2導(dǎo)通,開始為Q56,Q53,R33組成的能隙基準(zhǔn)源供電,并最終在Q38的基極上產(chǎn)生1.25V的基準(zhǔn)電壓?;鶞?zhǔn)電壓產(chǎn)生后,Q61的B極電位為1.9V左右,高于Q60的B極電位(1.4V),所以,Q60截止。R31,Q57,Q58,Q60組成了基準(zhǔn)電壓部分的啟動(dòng)電路。

基準(zhǔn)電壓模塊中的關(guān)鍵器件:

(1)Q53和Q56的面積比要嚴(yán)格匹配,R33的大小影響基準(zhǔn)電壓值。

(2)R27、R28匹配。

基準(zhǔn)電壓模塊的版圖設(shè)計(jì):根據(jù)隔離區(qū)劃分標(biāo)準(zhǔn),集電極電位相同晶體管可以放在同一個(gè)隔離區(qū)內(nèi),將基準(zhǔn)電壓模塊電路圖劃分成10個(gè)隔離區(qū)。然后在各個(gè)隔離區(qū)內(nèi)設(shè)計(jì)器件。

2.1.1 晶體管的設(shè)計(jì)

設(shè)計(jì)芯片一般情況下先調(diào)整晶體管的尺寸。雖然將晶體管的尺寸調(diào)到最小,會(huì)增大串聯(lián)器件的電阻和增大時(shí)間常數(shù),但是可以減小芯片面積,權(quán)衡利弊,縮小器件尺寸所Ю吹暮么σ遠(yuǎn)遠(yuǎn)大于它所帶來的壞處。設(shè)計(jì)晶體管版圖,首先要設(shè)計(jì)出一個(gè)最小尺寸的晶體管,這個(gè)最小尺寸的晶體管是根據(jù)本工藝線的工藝水平定出來的,本設(shè)計(jì)中最小尺寸晶體管的發(fā)射極面積是14×14μm2,然后在這個(gè)基礎(chǔ)上考慮圖形最小間距,逐步套合成一個(gè)最小尺寸的晶體管,也就是單位管。

根據(jù)對電路的分析、仿真,計(jì)算出電路圖中所有晶體管發(fā)射區(qū)的尺寸,以單位管為標(biāo)準(zhǔn),將計(jì)算出的發(fā)射區(qū)面積都表示為單位管的N倍。然后根據(jù)單位管的尺寸和本條工藝線所給出的最小設(shè)計(jì)規(guī)則,設(shè)計(jì)出各個(gè)晶體管的版圖。

2.1.2 電阻的設(shè)計(jì)

根據(jù)不同類型的電阻具有不同的方塊電阻,將大阻值的電阻設(shè)計(jì)為注入電阻,小阻值的電阻設(shè)計(jì)為擴(kuò)散電阻,更大阻值的電阻設(shè)計(jì)為夾層電阻。

根據(jù)電阻公式:

可以根據(jù)工藝線的端頭修正因子和版圖中的布局情況電阻的形狀和拐角個(gè)數(shù)。模塊中共有15個(gè)電阻,經(jīng)過計(jì)算分析,其中有一個(gè)是基區(qū)擴(kuò)散電阻,其余的是注入電阻,方塊電阻數(shù)全部標(biāo)注在電路圖中。

2.1.3 電容的設(shè)計(jì)

模塊中只有一個(gè)電容,電容值為2pF,根據(jù)式(2)可以算出電容面積為:

算出電容的面積后,將這個(gè)電容做成梳狀電容,使P區(qū)和N區(qū)的重迭面積為5000 就可以滿足電容值為2pF的要求。

將電路圖中的每個(gè)器件設(shè)計(jì)好之后,對比電路圖,符合低風(fēng)險(xiǎn)合并規(guī)則的器件可以合并以減少面積。然后再參考電路圖進(jìn)行布線。基準(zhǔn)電壓模塊版圖設(shè)計(jì)如圖2所示。

2.2 霍爾效應(yīng)管輸入模塊

電路如圖3所示,Q108的基極為其輸出信號(hào)。霍爾效應(yīng)管產(chǎn)生的信號(hào)從PIN5進(jìn)入(V1),直接傳給Q113的B極,Q113的B極與Q119的B極電位進(jìn)行比較。當(dāng)霍爾效應(yīng)管產(chǎn)生的信號(hào)為高時(shí),Q113極的B極電位為高,高于Q119的B極電位,所以,Q119導(dǎo)通,Q113截止。Q119的導(dǎo)通,使得Q111的B極電位為高,導(dǎo)通,從而將Q120-2的電流全部拉走,使得沒有電流從Q108、Q112和R75中流過,此時(shí)模塊的輸出(Q108的基極)為低,約為0V。反之,上述各管子工作狀態(tài)相反,模塊輸出為高,約為0.7V。Q114、Q115和D4組成了一個(gè)過壓保護(hù),使從霍爾效應(yīng)管輸入的信號(hào)不會(huì)過高,將其上限限定為(Vcc+2.1)V?;魻栃?yīng)管輸入電路模塊版圖設(shè)計(jì)如圖4所示。

3 版圖驗(yàn)證

完成版圖設(shè)計(jì)的電路性能由于諸多物理因素的介入,與邏輯設(shè)計(jì)、電路設(shè)計(jì)的結(jié)果相比,會(huì)有一定的變化,因此必須對版圖進(jìn)行驗(yàn)證,主要包括幾何設(shè)計(jì)規(guī)則檢查(DRC),電學(xué)規(guī)則檢查(ERC),網(wǎng)表一致性檢查(LVS),電路功能和性能驗(yàn)證(后仿真)等部分。這些檢查工作能為電路的版圖設(shè)計(jì)的正確性提供依據(jù)。本設(shè)計(jì)對繪制完的版圖進(jìn)行了DRC和LVS驗(yàn)證。

4 結(jié)論

這款電打火控制芯片的設(shè)計(jì)成功,填補(bǔ)了國內(nèi)類似芯片的設(shè)計(jì)空白,更重要的是這款芯片的所有元器件幾乎都采用最小設(shè)計(jì)尺寸,使芯片面積、功耗最小,從而提高了芯片的利用率,節(jié)省了設(shè)計(jì)成本。

版圖設(shè)計(jì)畢業(yè)論文:JFET輸入雙運(yùn)算放大器集成電路芯片的版圖設(shè)計(jì)

摘要:介紹了基于4μm雙極對通隔離兼容JFET工藝的雙運(yùn)算放大器集成電路芯片的版圖設(shè)計(jì)。版圖設(shè)計(jì)的主出發(fā)點(diǎn)是高精度、高速和高可靠性三方面。版圖中各模塊采用對稱設(shè)計(jì),關(guān)鍵元件的匹配采用了共質(zhì)心對稱設(shè)計(jì)。芯片測試結(jié)果表明,JFET輸入雙運(yùn)算放大器的輸入偏置電流和失調(diào)電流均達(dá)到了200pA以下,電路的轉(zhuǎn)換速率達(dá)到了10V/μs,增益帶寬積4.5MHz,很好的實(shí)現(xiàn)了預(yù)定電路功能。芯片成品率達(dá)90%。

關(guān)鍵詞:JFET;運(yùn)算放大器;版圖設(shè)計(jì);可靠性

0 引言

該JFET輸入運(yùn)算放大器主要用在高速積分器、快速D/A轉(zhuǎn)換器、采樣-保持等電路中,其關(guān)鍵技術(shù)指標(biāo)是高精度、高速和高可靠。作為集成電路設(shè)計(jì)流程中最重要的一個(gè)環(huán)節(jié),芯片版圖的設(shè)計(jì)將是提高電路精度、成品率和可靠性的關(guān)鍵因素。

1 芯片功能及原理圖

本文設(shè)計(jì)的JFET輸入雙運(yùn)算放大器輸入偏置電流最大200pA,失調(diào)電流最大50pA,失調(diào)電壓最大2mV,共模抑制比最小85dB,電源抑制比最小85dB,電壓增益最小90dB,轉(zhuǎn)換速率最小10V/μs,增益帶寬積最小4.5MHz。電路由失調(diào)調(diào)零電路、輸入ESD保護(hù)電路、偏置電路、差分輸入電路、電壓放大電路、輸出擴(kuò)流電路、保護(hù)電路組成。電路原理圖如圖1所示。

2 芯片版圖設(shè)計(jì)

2.1 芯片版圖的平面設(shè)計(jì)

本文設(shè)計(jì)的JFET輸入雙運(yùn)算放大器最大的熱源就是輸出擴(kuò)流電路,為了保證電路精度,降低溫度對輸入部分的影響,應(yīng)該將差分輸入電路遠(yuǎn)離輸出擴(kuò)流電路;保護(hù)電路需要測量輸出管的電流和結(jié)溫(主要是電流),因此需把它放在貼近輸出擴(kuò)流電路的位置;電路失調(diào)調(diào)零電路考慮到電路中測應(yīng)放在芯片邊緣;偏置電路采用正溫度系數(shù)的擴(kuò)散電阻和負(fù)溫度系數(shù)的齊納二極管串聯(lián),基本消除了溫度的影響,可以放在輸出擴(kuò)流電路邊上,同時(shí)降低了溫度對差分輸入電路的影響。

考慮到電路的高可靠性能,在電路的輸入、輸出、電源端均加上ESD保護(hù)電路,提高電路抗靜電等級(jí)。

綜上所述,結(jié)合具體布線情況,得出了芯片版圖的整體布局,如圖2所示。

2.2 主要模塊及元器件版圖設(shè)計(jì)

本設(shè)計(jì)采用4μm雙極對通隔離兼容JFET工藝,單層金屬布線,共15次光刻版,全部采用負(fù)膠接觸光刻。最小特征尺寸為4μm,外延層厚度12μm,電阻率3Ω?cm,基區(qū)結(jié)深2.5~3.0μm。

2.2.1 標(biāo)準(zhǔn)元器件版圖設(shè)計(jì)

本設(shè)計(jì)中用到的標(biāo)準(zhǔn)元件主要有P溝道JFET、外延型JFET,小功率npn晶體管、橫向pnp管、電阻、電容。P溝道JFET溝道長度設(shè)計(jì)為10μm。外延型JFET溝道寬度設(shè)計(jì)為32μm。小功率npn晶體管發(fā)射區(qū)下限尺寸主要受光刻精度的限制,小于4mA的npn晶體管發(fā)射區(qū)為φ22μm圓形,發(fā)射極電流按0.1mA/μm計(jì)算【1】;4~25mA的npn晶體管發(fā)射區(qū)設(shè)計(jì)為200μm×18μm的矩形??v向pnp晶體管發(fā)射區(qū)設(shè)計(jì)為350μm×30μm的矩形,同時(shí)在發(fā)射區(qū)做重?fù)诫s,提高縱向pnp管的大電流增益。橫向pnp管基區(qū)寬度設(shè)計(jì)為14μm。

另外,設(shè)計(jì)時(shí)還采用了發(fā)射極鋁層大面積覆蓋(過EB結(jié)勢壘區(qū)),以減少表面復(fù)合,提高npn管和橫向pnp管的小電流放大倍數(shù)【1】。

本設(shè)計(jì)中采用的電阻主要有基區(qū)電阻和高硼注入電阻。對于精度要求高、匹配性好的電阻采用基區(qū)電阻,如差分輸入端要求精確匹配的電阻。為了保證電阻的精度和好的匹配性,設(shè)計(jì)時(shí)盡量避免彎頭的出現(xiàn)。其余要求不高且阻值較大的電阻采用高B注入電阻,為了形成可靠的歐姆接觸,在接觸孔下的擴(kuò)散區(qū)做了重?fù)诫s。

電容器的設(shè)計(jì)采用MIS電容器,考慮電路對轉(zhuǎn)換速率的要求,電容面積按2pF/10000μm2計(jì)算。

2.2.2 差分輸入電路的版圖設(shè)計(jì)

差分輸入電路的精度是影響JFET輸入運(yùn)算放大器的最主要因素。因此,在版圖設(shè)計(jì)時(shí)除了合適的布局外,還要充分考慮到該部分電路所用元器件的匹配性,設(shè)計(jì)時(shí)主要采用以下匹配原則:(1)JFET采用統(tǒng)一的幾何形狀,放置在最相鄰的位置,采用共質(zhì)心拓?fù)浣Y(jié)構(gòu)交叉耦合的版圖設(shè)計(jì)【2】;(2)JFET所屬隔離島外圍實(shí)行N+重?fù)诫s,保證隔離島等電位,減小JFET表面漏電;(3)npn晶體管發(fā)射區(qū)采用φ22μm圓形結(jié)構(gòu),放置在JFET邊上,采用交叉耦合的版圖設(shè)計(jì),減小輸入級(jí)有源負(fù)載失配對失調(diào)的影響;(4)匹配好的JFET遠(yuǎn)離芯片熱源,放置在芯片的對稱軸上;(5)所用電阻均為基區(qū)電阻,條寬為20μm。采用上述原則設(shè)計(jì)出如下結(jié)構(gòu):

經(jīng)布局規(guī)劃,模塊實(shí)現(xiàn)和版圖優(yōu)化,得到芯片的整體版圖(圖4),芯片版圖尺寸為:3380μm×1860μm。

3 流片結(jié)果及分析

芯片版圖經(jīng)總體布局、布線設(shè)計(jì)完成后,對版圖進(jìn)行了DRC和LVS檢查,并在流片廠雙極對通隔離兼容JFET工藝線成功流片,芯片圖形如圖5所示。

表1是該運(yùn)算放大器樣品的上機(jī)測試參數(shù)與國外同型號(hào)產(chǎn)品對比結(jié)果。從表1可以看出,該運(yùn)算放大器達(dá)到了國外同型號(hào)產(chǎn)品的參數(shù)要求(實(shí)測時(shí)TI公司同類產(chǎn)品IB為100pA左右,Linear Technology公司同類產(chǎn)品IB為150pA左右),可以替代進(jìn)口的同型產(chǎn)品。

4 結(jié)語

為了實(shí)現(xiàn)高精度、高速、高可靠運(yùn)算放大器,本文設(shè)計(jì)出了一種輸入級(jí)完全對稱的版圖結(jié)構(gòu)。芯片版圖經(jīng)總體布局、布線設(shè)計(jì)完成,并在流片廠成功流片。結(jié)果表明,該芯片的性能指標(biāo)優(yōu)于國內(nèi)同型產(chǎn)品,版圖設(shè)計(jì)很好地實(shí)現(xiàn)了電路功能,初測芯片的成品率達(dá)90%。

版圖設(shè)計(jì)畢業(yè)論文:面向企業(yè)的IC版圖設(shè)計(jì)教學(xué)探討

摘要:本文在分析國內(nèi)集成電路(IC)設(shè)計(jì)企業(yè)對版圖設(shè)計(jì)要求的基礎(chǔ)上,調(diào)查了已從事版圖設(shè)計(jì)的一些學(xué)生在校期間所學(xué)的版圖知識(shí)與企業(yè)要求的差異,針對版圖教學(xué)存在的一些教學(xué)與企業(yè)對版圖設(shè)計(jì)具體要求的不同,深入探討IC版圖教學(xué)內(nèi)容和實(shí)現(xiàn)方法,規(guī)劃版圖教學(xué)與實(shí)踐的緊密結(jié)合。強(qiáng)調(diào)版圖教學(xué)與目前主流工藝、常用電路以及設(shè)計(jì)軟件同步并重。

關(guān)鍵詞:版圖設(shè)計(jì);集成電路;教學(xué)與實(shí)踐

目前,集成電路設(shè)計(jì)公司在招聘新版圖設(shè)計(jì)員工時(shí),都希望找到已經(jīng)具備一定工作經(jīng)驗(yàn)的,并且熟悉本行業(yè)規(guī)范的設(shè)計(jì)師。但是,IC設(shè)計(jì)這個(gè)行業(yè)圈并不大,招聘人才難覓,不得不從其他同行業(yè)挖人才或通過獵頭公司。企業(yè)不得不付出很高的薪資,設(shè)計(jì)師才會(huì)考慮跳槽,于是一些企業(yè)將招聘新員工目標(biāo)轉(zhuǎn)向了應(yīng)屆畢業(yè)生或在校生,以提供較低薪酬聘用員工或?qū)嵙?xí)方式來培養(yǎng)適合本公司的版圖師。一些具備版圖設(shè)計(jì)知識(shí)的即將畢業(yè)學(xué)生就進(jìn)入了IC設(shè)計(jì)行業(yè)。但是,企業(yè)通常在招聘時(shí)或是畢業(yè)生進(jìn)入企業(yè)一段時(shí)間后發(fā)現(xiàn),即使是懂點(diǎn)版圖知識(shí)的新員工,電路和工藝的知識(shí)差強(qiáng)人意,再就是行業(yè)術(shù)語與設(shè)計(jì)軟件使用不夠熟練、甚至不懂。這就要求我們在版圖教學(xué)時(shí)滲入電路與工藝等知識(shí),使學(xué)生明確其中緊密關(guān)聯(lián)關(guān)系,樹立電路、工藝以及設(shè)計(jì)軟件為版圖設(shè)計(jì)服務(wù)的理念。

一、企業(yè)對IC版圖設(shè)計(jì)的要求分析

集成電路設(shè)計(jì)公司在招聘版圖設(shè)計(jì)員工時(shí),除了對員工的個(gè)人素質(zhì)和英語的應(yīng)用能力等要求之外,大部分是考查專業(yè)應(yīng)用的能力。一般都會(huì)對新員工做以下要求:熟悉半導(dǎo)體器件物理、CMOS或BiCMOS、BCD集成電路制造工藝;熟悉集成電路(數(shù)字、模擬)設(shè)計(jì),了解電路原理,設(shè)計(jì)關(guān)鍵點(diǎn);熟悉Foundry廠提供的工藝參數(shù)、設(shè)計(jì)規(guī)則;掌握主流版圖設(shè)計(jì)和版圖驗(yàn)證相關(guān)EDA工具;完成手工版圖設(shè)計(jì)和工藝驗(yàn)證[1,2]。另外,公司希望合格的版圖設(shè)計(jì)人員除了懂得IC設(shè)計(jì)、版圖設(shè)計(jì)方面的專業(yè)知識(shí),還要熟悉Foundry廠的工作流程、制程原理等相關(guān)知識(shí)[3]。正因?yàn)槠湫枰莆盏闹R(shí)面廣,而國內(nèi)學(xué)校開設(shè)這方面專業(yè)比較晚,IC版圖設(shè)計(jì)工程師的人才缺口更為巨大,所以擁有一定工作經(jīng)驗(yàn)的設(shè)計(jì)工程師,就成為各設(shè)計(jì)公司和獵頭公司爭相角逐的人才[4,5]。

二、針對企業(yè)要求的版圖設(shè)計(jì)教學(xué)規(guī)劃

1.數(shù)字版圖設(shè)計(jì)。數(shù)字集成電路版圖設(shè)計(jì)是由自動(dòng)布局布線工具結(jié)合版圖驗(yàn)證工具實(shí)現(xiàn)的。自動(dòng)布局布線工具加載準(zhǔn)備好的由verilog程序經(jīng)過DC綜合后的網(wǎng)表文件與Foundry提供的數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫文件和I/O的庫文件,它包括物理庫、時(shí)序庫、時(shí)序約束文件。在數(shù)字版圖設(shè)計(jì)時(shí),一是熟練使用自動(dòng)布局布線工具如Encounter、Astro等,鑒于很少有學(xué)校開設(shè)這門課程,可以推薦學(xué)生自學(xué)或是參加專業(yè)培訓(xùn)。二是數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫的設(shè)計(jì),可以由Foundry廠提供,也可由公司自定制標(biāo)準(zhǔn)單元版圖庫,因此對于初學(xué)者而言設(shè)計(jì)好標(biāo)準(zhǔn)單元版圖使其符合行業(yè)規(guī)范至關(guān)重要。

2.模擬版圖設(shè)計(jì)。在模擬集成電路設(shè)計(jì)中,無論是CMOS還是雙極型電路,主要目標(biāo)并不是芯片的尺寸,而是優(yōu)化電路的性能,匹配精度、速度和各種功能方面的問題。作為版圖設(shè)計(jì)者,更關(guān)心的是電路的性能,了解電壓和電流以及它們之間的相互關(guān)系,應(yīng)當(dāng)知道為什么差分對需要匹配,應(yīng)當(dāng)知道有關(guān)信號(hào)流、降低寄生參數(shù)、電流密度、器件方位、布線等需要考慮的問題。模擬版圖是在注重電路性能的基礎(chǔ)上去優(yōu)化尺寸的,面積在某種程度上說仍然是一個(gè)問題,但不再是壓倒一切的問題。在模擬電路版圖設(shè)計(jì)中,性能比尺寸更重要。另外,模擬集成電路版圖設(shè)計(jì)師作為前端電路設(shè)計(jì)師的助手,經(jīng)常需要與前端工程師交流,看是否需要版圖匹配、布線是否合理、導(dǎo)線是否有大電流流過等,這就要求版圖設(shè)計(jì)師不僅懂工藝而且能看懂模擬電路。

3.逆向版圖設(shè)計(jì)。集成電路逆向設(shè)計(jì)其實(shí)就是芯片反向設(shè)計(jì)。它是通過對芯片內(nèi)部電路的提取與分析、整理,實(shí)現(xiàn)對芯片技術(shù)原理、設(shè)計(jì)思路、工藝制造、結(jié)構(gòu)機(jī)制等方面的深入洞悉。因此,對工藝了解的要求更高。反向設(shè)計(jì)流程包括電路提取、電路整理、分析仿真驗(yàn)證、電路調(diào)整、版圖提取整理、版圖繪制驗(yàn)證及后仿真等。設(shè)計(jì)公司對反向版圖設(shè)計(jì)的要求較高,版圖設(shè)計(jì)工作還涵蓋了電路提取與整理,這就要求版圖設(shè)計(jì)師不僅要深入了解工藝流程;而且還要熟悉模擬電路和數(shù)字標(biāo)準(zhǔn)單元電路工作原理。

三、教學(xué)實(shí)現(xiàn)

1.數(shù)字版圖。數(shù)字集成電路版圖在教學(xué)時(shí),一是掌握自動(dòng)布局布線工具的使用,還需要對UNIX或LINUX系統(tǒng)熟悉,尤其是一些常用的基本指令;二是數(shù)字邏輯單元版圖的設(shè)計(jì),目前數(shù)字集成電路設(shè)計(jì)大都采用CMOS工藝,因此,必須深入學(xué)習(xí)CMOS工藝流程。在教學(xué)時(shí),可以做個(gè)形象的PPT,空間立體感要強(qiáng),使學(xué)生更容易理解CMOS工藝的層次、空間感。邏輯單元版圖具體教學(xué)方法應(yīng)當(dāng)采用上機(jī)操作并配備投影儀,教師一邊講解電路和繪制版圖,一邊講解軟件的操作、設(shè)計(jì)規(guī)則、畫版圖步驟、注意事項(xiàng),學(xué)生跟著一步一步緊隨教師演示學(xué)習(xí)如何畫版圖,同時(shí)教師可適當(dāng)調(diào)整教學(xué)速度,適時(shí)停下來檢查學(xué)生的學(xué)習(xí)情況,若有錯(cuò)加以糾正。這樣,教師一個(gè)單元版圖講解完畢,學(xué)生亦完成一個(gè)單元版圖。亦步亦趨、步步跟隨,學(xué)生的注意力更容易集中,掌握速度更快。課堂講解完成后,安排學(xué)生實(shí)驗(yàn)以鞏固所學(xué)。邏輯單元版圖教學(xué)內(nèi)容安排應(yīng)當(dāng)采用目前常用的單元,并具有代表性、擴(kuò)展性,使學(xué)生可以舉一反三,擴(kuò)展到整個(gè)單元庫。具體單元內(nèi)容安排如反相器、與非門/或非門、選擇器、異或門/同或門、D觸發(fā)器與SRAM等。在教授時(shí)一定要注意符合行業(yè)規(guī)范,比如單元的高度、寬度的確定要符合自動(dòng)布局布線的要求;單元版圖一定要最小化,如異或門與觸發(fā)器等常使用傳輸門實(shí)現(xiàn),繪制版圖時(shí)注意晶體管源漏區(qū)的合并;大尺寸晶體管的串并聯(lián)安排合理等。

2.模擬版圖。模擬集成電路版圖設(shè)計(jì)更注重電路的性能實(shí)現(xiàn),經(jīng)常需要與前端電路設(shè)計(jì)工程師交流。因此,版圖教學(xué)時(shí)教師須要求學(xué)生掌握模擬集成電路的基本原理,學(xué)生能識(shí)CMOS模擬電路,與前端電路工程師交流無障礙。同時(shí)也要求學(xué)生掌握工藝對模擬版圖的影響,熟練運(yùn)用模擬版圖的晶體管匹配、保護(hù)環(huán)、Dummy晶體管等關(guān)鍵技術(shù)。在教學(xué)方法上,依然采用數(shù)字集成電路版圖的教學(xué)過程,實(shí)現(xiàn)教與學(xué)的同步。在內(nèi)容安排上,一是以運(yùn)算放大器為例,深入講解差分對管、電流鏡、電容的匹配機(jī)理,版圖匹配時(shí)結(jié)構(gòu)采用一維還是二維,具體是如何布局的,以及保護(hù)環(huán)與dummy管版圖繪制技術(shù)。二是以帶隙基準(zhǔn)電壓源為例,深入講解N阱CMOS工藝下雙極晶體管PNP與電阻匹配的版圖繪制技術(shù)。在教學(xué)時(shí)需注意晶體管與電阻并聯(lián)拆分的合理性、電阻與電容的類型與計(jì)算方法以及布線的規(guī)范性。

3.逆向版圖設(shè)計(jì)。逆向集成電路版圖設(shè)計(jì)需要學(xué)生掌握數(shù)字標(biāo)準(zhǔn)單元的命名規(guī)范、所有標(biāo)準(zhǔn)單元電路結(jié)構(gòu)、常用模擬電路的結(jié)構(gòu)以及芯片的工藝,要求學(xué)生熟悉模擬和數(shù)字集成單元電路。這樣才可以在逆向提取電路與版圖時(shí),做到準(zhǔn)確無誤。教學(xué)方法同樣還是采用數(shù)字集成電路版圖教學(xué)流程,達(dá)到學(xué)以致用。教學(xué)內(nèi)容當(dāng)以一個(gè)既含數(shù)字電路又含模擬電路的芯片為例。為了提取數(shù)字單元電路,需講解foundry提供的標(biāo)準(zhǔn)單元庫里的單元電路與命名規(guī)范。在提取單元電路教學(xué)時(shí),說明數(shù)字電路需要?dú)w并同類圖形,例如與非門、或非門、觸發(fā)器等,同樣的圖形不要分析多次。強(qiáng)調(diào)學(xué)生注意電路的共性、版圖布局與布線的規(guī)律性,做到熟能生巧。模擬電路的提取與版圖繪制教學(xué)要求學(xué)生掌握模擬集成電路常用電路結(jié)構(gòu)與工作原理,因?yàn)槟嫦蛟O(shè)計(jì)軟件提出的元器件符號(hào)應(yīng)該按照易于理解的電路整理,使其他人員也能看出你提取電路的功能,做到準(zhǔn)確通用規(guī)范性。

集成電路版圖設(shè)計(jì)教學(xué)應(yīng)面向企業(yè),按照企業(yè)對設(shè)計(jì)工程師的要求來安排教學(xué),做到教學(xué)與實(shí)踐的緊密結(jié)合。從教學(xué)開始就向?qū)W生灌輸IC行業(yè)知識(shí),定位準(zhǔn)確,學(xué)生明確自己應(yīng)該掌握哪些相關(guān)知識(shí)。本文從集成電路數(shù)字版圖、模擬版圖和逆向設(shè)計(jì)版圖這三個(gè)方面就如何開展教學(xué)可以滿足企業(yè)對版圖工程師的要求展開探討,安排教學(xué)有針對性。在教學(xué)方法與內(nèi)容上做了分析探討,力求讓學(xué)生在畢業(yè)后可以順利進(jìn)入IC行業(yè)做出努力。

作者簡介:李亮(1979-),男,內(nèi)蒙察右前旗人,講師,就職于蘇州市職業(yè)大學(xué)電子信息工程學(xué)院,研究方向:集成電路設(shè)計(jì)與教學(xué)研究。

版圖設(shè)計(jì)畢業(yè)論文:做好凹版圖文設(shè)計(jì) 提高印品質(zhì)量

在凹版印前制作中,需要對客戶設(shè)計(jì)好的圖文進(jìn)行適當(dāng)?shù)匦薷?,使其盡可能滿足凹印的要求。其中,線條、文字和色彩等都是重要的考查對象,只有設(shè)計(jì)和控制合理,才能達(dá)到理想的印刷效果。下面,筆者將談一談我公司凹版圖文設(shè)計(jì)的要點(diǎn),供同行參考。

文字和線條設(shè)計(jì)

文字和線條的設(shè)計(jì)一般應(yīng)注意以下3個(gè)方面。

(1)由于較細(xì)小的文字和線條在印刷時(shí)不宜采用多色套印,所以,不能在套色圖案上設(shè)計(jì)更加細(xì)小的反白字,且不宜在套色圖案上留白后,再套印其他細(xì)小的文字,否則會(huì)增加套印難度。

(2)文字字號(hào)不應(yīng)小于5號(hào)字,字高不得小于2mm;線條線寬不得小于0.1mm,但如果采用金屬油墨印刷,考慮到金屬油墨顆粒太大,在印刷時(shí)較難呈現(xiàn)理想的效果,所以其線條線寬就要大于0.1mm;細(xì)小的線條、文字等在設(shè)計(jì)時(shí)需要加上寬度為0.02mm的實(shí)邊,加上實(shí)邊后這些文字和線條本身的寬度應(yīng)保持不變。

(3)文字與底圖之間的壓邊在印刷時(shí)往往容易出現(xiàn)變色問題,如果客戶不能接受這種問題,在圖文設(shè)計(jì)時(shí)就要在文字邊緣加白邊,且白邊寬度不得小于0.3mm。

色彩控制

(1)對人像等套印精度要求較嚴(yán)的圖像,在圖文設(shè)計(jì)時(shí)黃品青各色之間盡量不要加入其他色彩。

(2)印品上條形碼的色彩多以黑色、深藍(lán)色為主,不宜采用金屬油墨或淺色油墨印刷。另外,條形碼的大小應(yīng)符合國家要求,為保證條形碼印刷的完整性及清晰度,條形碼的線條方向應(yīng)盡量與版輥圓周方向一致。

(3)在油墨色彩能夠達(dá)到客戶要求的前提下,設(shè)計(jì)圖文時(shí)盡量不使用疊色,例如,如果直接采用黑色掛網(wǎng)能夠滿足色彩要求的話,就不要選擇由黃品青疊加而得到的黑色;過渡網(wǎng)能通過改變加網(wǎng)線數(shù)來達(dá)到色彩漸變效果的話,就不需要采用多色疊加去完成。

其他方面

加網(wǎng)時(shí)必須充分考慮80%和5%這兩個(gè)色彩跳躍區(qū),漸變掛網(wǎng)時(shí)最小網(wǎng)點(diǎn)極限應(yīng)在10%以上,可印刷的最小網(wǎng)點(diǎn)面積率為15%~25%,盡量不要做大面積掛淺網(wǎng),以免大批量印刷時(shí)造成網(wǎng)點(diǎn)丟失。另外,透明油墨和金屬油墨必須要采用專色版,大面積實(shí)地底色最好要與網(wǎng)目調(diào)圖案分開制版,且盡量采用專色版。